首页> 外文会议>Design, Automation amp; Test in Europe Conference amp; Exhibition (DATE), 2012 >An out-of-order superscalar processor on FPGA: The ReOrder Buffer design
【24h】

An out-of-order superscalar processor on FPGA: The ReOrder Buffer design

机译:FPGA上的无序超标量处理器:ReOrder Buffer设计

获取原文
获取原文并翻译 | 示例

摘要

Embedded systems based on FPGA (Field-Programmable Gate Arrays) must exhibit more performance for new applications. However, no high-performance superscalar soft processor is available on the FPGA, because the superscalar architecture is not suitable for FPGAs. High-performance superscalar processors execute instructions out-of-order and it is necessary to re-order instructions after execution. This task is performed by the ROB (ReOrder Buffer) that uses usually multi-ports RAM, but only two-port buffers are available in FPGA. In this work, we propose a FPGA friendly ROB (ReOrder Buffer) architecture using only 2 ports RAM called a multi-bank ROB architecture. The ROB is the main and more complex structure in an out-of-order superscalar processor. Depending on processor architecture parameters, the FPGA implementation of our ROB compared to a classic architecture, requires 5 to 7 times less registers, 1.5 to 8.3 times less logic gates and 2.6 to 32 times less RAM blocks.
机译:基于FPGA(现场可编程门阵列)的嵌入式系统必须在新应用中展现出更高的性能。但是,由于超标量架构不适合FPGA,因此FPGA上没有高性能的超标量软处理器。高性能超标量处理器无序执行指令,执行后有必要对指令重新排序。该任务由通常使用多端口RAM的ROB(重排序缓冲区)执行,但FPGA中只有两个端口缓冲区可用。在这项工作中,我们提出了一种仅使用2个端口RAM的FPGA友好ROB(重排序缓冲区)架构,称为多存储区ROB架构。 ROB是乱序的超标量处理器中的主要且更复杂的结构。与处理器架构参数相比,与传统架构相比,我们ROB的FPGA实现需要更少的寄存器5至7倍,逻辑门减少1.5至8.3倍,RAM块减少2.6至32倍。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号