首页> 外文会议>Advanced etch technology for nanopatterning VI >Self-Aligned Blocking Integration Demonstration for Critical sub 40nm pitch Mx Level Patterning
【24h】

Self-Aligned Blocking Integration Demonstration for Critical sub 40nm pitch Mx Level Patterning

机译:关键亚40nm节距Mx电平图案化的自对准块积分演示

获取原文
获取原文并翻译 | 示例

摘要

Multipatterning has enabled continued scaling of chip technology at the 28nm node and beyond. Self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) as well as Litho-Etch/Litho-Etch (LELE) iterations are widely used in the semiconductor industry to enable patterning at sub 193 immersion lithography resolutions for layers such as FIN, Gate and critical Metal lines. Multipatterning requires the use of multiple masks which is costly and increases process complexity as well as edge placement error variation driven mostly by overlay. To mitigate the strict overlay requirements for advanced technology nodes (7nm and below), a self-aligned blocking integration is desirable. This integration trades off the overlay requirement for an etch selectivity requirement and enables the cut mask overlay tolerance to be relaxed from half pitch to three times half pitch. Self-alignement has become the latest trend to enable scaling and self-aligned integrations are being pursued and investigated for various critical layers such as contact, via, metal patterning. In this paper we propose and demonstrate a low cost flexible self-aligned blocking strategy for critical metal layer patterning for 7nm and beyond from mask assembly to low -K dielectric etch. The integration is based on a 40nm pitch SADP flow with 2 cut masks compatible with either cut or block integration and employs dielectric films widely used in the back end of the line. As a consequence this approach is compatible with traditional etch, deposition and cleans tools that are optimized for dielectric etches. We will review the critical steps and selectivities required to enable this integration along with bench-marking of each integration option (cut vs. block).
机译:多图案化技术可以在28nm节点及以后的节点上继续扩展芯片技术。自对准双图案(SADP)和自对准四重图案(SAQP)以及Litho-Etch / Litho-Etch(LELE)迭代被广泛用于半导体行业,以实现低于193浸没式光刻分辨率的图案化,例如作为FIN,栅极和关键金属线。多图案化需要使用多个掩膜,这是昂贵的并且增加了工艺复杂度以及主要由覆盖层驱动的边缘放置误差变化。为了减轻对先进技术节点(7纳米及以下)的严格覆盖要求,需要一种自对准阻塞集成。这种集成权衡了对蚀刻选择性要求的覆盖要求,并使切割掩模的覆盖公差可以从半间距减至半间距的三倍。自对准已成为实现缩放和针对各种关键层(如接触,过孔,金属图案)的自对准集成的最新趋势。在本文中,我们提出并演示了一种低成本灵活的自对准阻挡策略,可用于7nm以及从掩模组装到低K介电蚀刻的关键金属层构图。集成基于40nm节距SADP流,带有两个与切割或块集成兼容的切割掩模,并采用了在生产线后端广泛使用的介电膜。结果,该方法与针对电介质蚀刻优化的传统蚀刻,沉积和清洁工具兼容。我们将回顾实现此集成所需的关键步骤和选择性,以及每个集成选项(切割与块)的基准测试。

著录项

  • 来源
    《Advanced etch technology for nanopatterning VI》|2017年|101490O.1-101490O.11|共11页
  • 会议地点 San Jose(US)
  • 作者单位

    Andrew W. Metz, Peter Biolsi, Anton Devilliers, TEL Technology Center, America, LLC (United States);

    Andrew W. Metz, Peter Biolsi, Anton Devilliers, TEL Technology Center, America, LLC (United States);

    Andrew W. Metz, Peter Biolsi, Anton Devilliers, TEL Technology Center, America, LLC (United States);

    Andrew W. Metz, Peter Biolsi, Anton Devilliers, TEL Technology Center, America, LLC (United States);

    Andrew W. Metz, Peter Biolsi, Anton Devilliers, TEL Technology Center, America, LLC (United States);

    Andrew W. Metz, Peter Biolsi, Anton Devilliers, TEL Technology Center, America, LLC (United States);

  • 会议组织
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

  • 入库时间 2022-08-26 14:30:33

相似文献

  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号