首页> 外文会议>2013 IEEE International Conference on Electronics, Circuits, and Systems >Current source based standard-cell model for accurate timing analysis of combinational logic cells
【24h】

Current source based standard-cell model for accurate timing analysis of combinational logic cells

机译:基于电流源的标准单元模型,可对组合逻辑单元进行准确的时序分析

获取原文
获取原文并翻译 | 示例

摘要

Timing verification is an essential process in nanometer design. Therefore, static timing analysis (STA) is currently the main aspect of performance verification. Traditional STA is based on lookup tables with input slew and output load capacitance. It is becoming insufficient to accurately characterize many significant aspects of the conventional cell delays models, such as: the process variations, nonlinear waveforms, nonlinear loads, and multiple inputs switching (MIS). Therefore, the current trend in modern designs is to use current source based models (CSM), which model MOSFETs as a transconductance. This paper proposes a CSM for combinational logic cells which can accommodate single input switching (SIS) signals. It can also handle where small capacitances are connected at the gate output, while fast ramp signals are applied to the gate input. When compared with ELDO, the proposed model produces more accurate stage delay than that obtained from the standard cell lookup tables.
机译:时序验证是纳米设计中必不可少的过程。因此,静态时序分析(STA)当前是性能验证的主要方面。传统的STA基于具有输入压摆和输出负载电容的查找表。准确表征常规信元延迟模型的许多重要方面已变得不足,例如过程变化,非线性波形,非线性负载和多输入切换(MIS)。因此,现代设计的当前趋势是使用基于电流源的模型(CSM),该模型将MOSFET建模为跨导。本文提出了一种用于组合逻辑单元的CSM,它可以容纳单输入开关(SIS)信号。它还可以处理在栅极输出处连接小电容的位置,同时将快速斜坡信号施加到栅极输入。当与ELDO进行比较时,与从标准单元查找表获得的相位延迟相比,该模型产生的相位延迟更准确。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号