CORDIC算法计算三角函数的FPGA实现

摘要

三角函数运算在现代工程中有着广泛的应用,结合近年的研究成果,本文讲述了基于CORDIC算法计算三角函数的硬件结构实现,并运用FPGA技术验证其实现。结果表明,基于CORDIC算法的硬件结构容易实现,具有良好的运算精度,且运算精度容易调整,因此具有实际的工程意义。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号