首页> 外国专利> Implementation of half-path joining in a system for global performance analysis of a latch-based design

Implementation of half-path joining in a system for global performance analysis of a latch-based design

机译:在基于闩锁的设计的全局性能分析的系统中实现半路径联接

摘要

Critical speed paths through a latch-based logic circuit must contain at least one latch-to-latch combinational delay which exceeds the nominal phase time of the circuit. To identify this set of paths through latch-to- latch delays greater than the nominal phase time of the circuit (i.e., through interesting tLLs), a half-path joining approach is employed. Backward half-paths from fixed timing points forward through the network defined by a latch abstraction of the circuit to an interesting tLL are multiplicatively joined with forward half-paths from the interesting tLL forward to other fixed timing points to form a set of fixed-point-to- fixed-point (F2F) paths through the interesting tLL. Timing analysis is performed on the set of F2F paths to identify those which represent critical speed paths through the circuit. The half-path joining approach is improved by performing timing analysis on the half- paths prior to combining. Based on the results of half-path timing analysis, the number of half-paths, and therefore the number of F2F paths resulting from a multiplicative joining of half-paths, is greatly reduced. One approach is to discard any half-path which is guaranteed to meet a target frequency. An enhancement is to identify at most two backward and two forward half- paths by identifying the worst half-path in each direction based on optimistic and pessimistic assumptions concerning time borrowing across the join point. A further enhancement is to perform only the pessimistic timing analysis. Although the purely pessimistic approach tends to favor failing path segments near the join point, any failing path segment which is "missed" because of the optimization is guaranteed to be found in another timing check because the "missed" segment must itself contain an interesting tLL.
机译:通过基于锁存器的逻辑电路的临界速度路径必须包含至少一个锁存器到锁存器的组合延迟,该延迟超过电路的标称相位时间。为了通过大于电路的标称相位时间的锁存器到锁存器的延迟(即通过有趣的tLL)来识别这组路径,采用了半路径连接方法。通过电路的锁存器抽象定义的,从固定定时点到网络的后向半路径与感兴趣的tLL的后向半路径与从感兴趣的tLL到其他固定定时点的前向半路径相乘,从而形成一组固定点有趣的tLL到定点(F2F)路径。对这组F2F路径进行时序分析,以识别那些代表通过电路的关键速度路径的路径。通过在合并之前对半路径执行时序分析,改进了半路径连接方法。根据半路径时序分析的结果,大大减少了半路径的数量,因此大大减少了因半路径的乘法连接而导致的F2F路径的数量。一种方法是丢弃保证满足目标频率的任何半路径。一种增强功能是通过基于有关连接点上时间借用的乐观和悲观假设来确定每个方向上最差的半路径,从而最多识别两个后向和两个向前半路径。进一步的增强是仅执行悲观的时序分析。尽管纯粹悲观的方法倾向于偏向连接点附近的失败路径段,但由于优化而导致“丢失”的任何失败路径段都可以确保在另一个时序检查中找到,因为“丢失”段本身必须包含有趣的tLL 。

著录项

  • 公开/公告号US5651012A

    专利类型

  • 公开/公告日1997-07-22

    原文格式PDF

  • 申请/专利权人 ADVANCED MICRO DEVICES INC.;

    申请/专利号US19960700596

  • 发明设计人 ROBERT F. JONES JR.;

    申请日1996-08-12

  • 分类号G06F11/00;

  • 国家 US

  • 入库时间 2022-08-22 03:09:40

相似文献

  • 专利
  • 外文文献
  • 中文文献
获取专利

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号