首页> 外国专利> Reconfiguring execution pipelines of out-of-order (OOO) computer processors based on phase training and prediction

Reconfiguring execution pipelines of out-of-order (OOO) computer processors based on phase training and prediction

机译:根据阶段训练和预测重新配置无序(OOO)计算机处理器的执行管道

摘要

Reconfiguring execution pipelines of out-of-order (OOO) computer processors based on phase training and prediction is disclosed. In one aspect, a pipeline reconfiguration circuit is communicatively coupled to an execution pipeline providing multiple selectable pipeline configurations. The pipeline reconfiguration circuit generates a phase identifier (ID) for a phase based on a preceding phase. The phase ID is used as an index into an entry of a pipeline configuration prediction (PCP) table to determine whether training for the phase is ongoing. If so, the pipeline reconfiguration circuit performs multiple training cycles, each employing a pipeline configuration from the selectable pipeline configurations for the execution pipeline, to determine a preferred pipeline configuration for the phase. If training for the phase is complete, the pipeline reconfiguration circuit reconfigures the execution pipeline into the preferred pipeline configuration indicated by the entry before the phase is executed.
机译:公开了基于阶段训练和预测来重新配置无序(OOO)计算机处理器的执行管线。在一方面,流水线重新配置电路通信地耦合到提供多个可选流水线配置的执行流水线。流水线重配置电路基于先前的相位生成针对一个相位的相位标识符(ID)。阶段ID用作管道配置预测(PCP)表条目的索引,以确定该阶段的培训是否正在进行。如果是这样,则流水线重新配置电路执行多个训练周期,每个训练周期从可选流水线配置中为执行流水线使用流水线配置,以确定该阶段的优选流水线配置。如果该阶段的训练完成,则流水线重新配置电路将执行流水线重新配置为该阶段执行前该条目所指示的首选流水线配置。

著录项

相似文献

  • 专利
  • 外文文献
  • 中文文献
获取专利

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号