首页> 中国专利> 光刻系统的维护管理方法、维护管理装置和计算机可读介质

光刻系统的维护管理方法、维护管理装置和计算机可读介质

摘要

本公开的一个观点的光刻系统的维护管理方法包含:按照每个构成光刻系统的1组单位的装置组即光刻单元整理工作信息并进行保存;整理每个光刻单元的与消耗品有关的维护信息并进行保存;根据每个光刻单元的工作信息和与消耗品有关的维护信息计算每个光刻单元的消耗品的标准维护时期;根据标准维护时期、停工时间的信息、每个光刻单元的或每个生产线的停工时间导致的损失成本信息,生成每个光刻单元的或每个生产线的维护调度计划;以及输出维护调度计划的生成结果。

著录项

  • 公开/公告号CN112384859A

    专利类型发明专利

  • 公开/公告日2021-02-19

    原文格式PDF

  • 申请/专利权人 极光先进雷射株式会社;

    申请/专利号CN201880095046.2

  • 发明设计人 阿部邦彦;峰岸裕司;若林理;

    申请日2018-08-08

  • 分类号G03F7/20(20060101);

  • 代理机构11127 北京三友知识产权代理有限公司;

  • 代理人于英慧;崔成哲

  • 地址 日本栃木县

  • 入库时间 2023-06-19 09:54:18

说明书

技术领域

本公开涉及光刻系统的维护管理方法、维护管理装置和计算机可读介质。

背景技术

随着半导体集成电路的微细化和高集成化,在半导体曝光装置中要求分辨率的提高。下面,将半导体曝光装置简称为“曝光装置”。因此,从曝光用光源输出的光的短波长化得以发展。在曝光用光源中代替现有的汞灯而使用气体激光装置。当前,作为曝光用的气体激光装置,使用输出波长为248nm的紫外线的KrF准分子激光装置、以及输出波长为193nm的紫外线的ArF准分子激光装置。

作为当前的曝光技术,如下的液浸曝光已经实用化:利用液体充满曝光装置侧的投影透镜与晶片之间的间隙,通过改变该间隙的折射率,使曝光用光源的外观的波长变短。在使用ArF准分子激光装置作为曝光用光源进行液浸曝光的情况下,对晶片照射等效的波长为134nm的紫外光。将该技术称为ArF液浸曝光。ArF液浸曝光也被称为ArF液浸光刻。

KrF、ArF准分子激光装置的自然振荡中的谱线宽度较宽,大约为350~400pm,因此,通过曝光装置侧的投影透镜缩小地投影到晶片上的激光(紫外线光)产生色像差,分辨率降低。因此,需要将从气体激光装置输出的激光的谱线宽度窄带化到能够无视色像差的程度。谱线宽度也被称为谱宽度。因此,在气体激光装置的激光谐振器内设置具有窄带化元件的窄带化部(Line Narrow Module),通过该窄带化部实现谱宽度的窄带化。另外,窄带化元件也可以是标准具或光栅等。将这种谱宽度被窄带化的激光装置称为窄带化激光装置。

现有技术文献

专利文献

专利文献1:美国专利申请公开第2007/0252966号说明书

专利文献2:日本特开2013-179109号公报

专利文献3:日本特开2009-217718号公报

专利文献4:日本特开2011-197894号公报

专利文献5:美国专利第6697695号说明书

专利文献6:美国专利申请公开第2016/0254634号说明书

专利文献7:美国专利申请公开第2003/0013213号说明书

发明内容

本公开的一个观点的光刻系统的维护管理方法包含如下步骤:按照每个光刻单元整理工作信息,保存每个光刻单元的工作信息,该光刻单元是构成进行抗蚀剂的涂布、曝光和显影的光刻系统的1组单位的装置组;按照每个光刻单元整理与装置组的各装置中的作为维护对象的消耗品有关的维护信息,保存每个光刻单元的与消耗品有关的维护信息;根据每个光刻单元的工作信息和每个光刻单元的与消耗品有关的维护信息,计算每个光刻单元的消耗品的标准维护时期;根据每个光刻单元的消耗品的标准维护时期、对每个光刻单元的消耗品进行更换而导致的停工时间的信息、每个光刻单元的或包含2个以上的光刻单元在内的每个生产线的停工时间导致的损失成本信息,生成每个光刻单元的或每个生产线的维护调度计划;以及输出维护调度计划的生成结果。

本公开的另一个观点的光刻系统的维护管理装置包含:工作信息处理部,其进行如下处理:按照每个光刻单元整理工作信息,保存每个光刻单元的工作信息,该光刻单元是构成进行抗蚀剂的涂布、曝光和显影的光刻系统的1组单位的装置组;维护信息处理部,其进行如下处理:按照每个光刻单元整理与装置组的各装置中的作为维护对象的消耗品有关的维护信息,保存每个光刻单元的与消耗品有关的维护信息;标准维护时期计算部,其根据每个光刻单元的工作信息和每个光刻单元的与消耗品有关的维护信息,计算每个光刻单元的消耗品的标准维护时期;维护调度计划部,其根据每个光刻单元的消耗品的标准维护时期、对每个光刻单元的消耗品进行更换而导致的停工时间的信息、每个光刻单元的或包含2个以上的光刻单元在内的每个生产线的停工时间导致的损失成本信息,生成每个光刻单元的或每个生产线的维护调度计划;以及数据输出部,其输出维护调度计划的生成结果。

在本公开的另一个观点的记录有程序的非易失性的计算机可读介质中,程序在由处理器执行的情况下,包含用于使处理器实现如下功能的命令:按照每个光刻单元整理工作信息,保存每个光刻单元的工作信息,该光刻单元是构成进行抗蚀剂的涂布、曝光和显影的光刻系统的1组单位的装置组;按照每个光刻单元整理与装置组的各装置中的作为维护对象的消耗品有关的维护信息,保存每个光刻单元的与消耗品有关的维护信息;根据每个光刻单元的工作信息和每个光刻单元的与消耗品有关的维护信息,计算每个光刻单元的消耗品的标准维护时期;根据每个光刻单元的消耗品的标准维护时期、对每个光刻单元的消耗品进行更换而导致的停工时间的信息、每个光刻单元的或包含2个以上的光刻单元在内的每个生产线的停工时间导致的损失成本信息,生成每个光刻单元的或每个生产线的维护调度计划;以及输出维护调度计划的生成结果。

附图说明

下面,参照附图将本公开的若干个实施方式作为简单例子进行说明。

图1是概略地示出例示的光刻单元的结构的主视图。

图2是示出光刻单元的具体例的图。

图3是示出光刻单元的具体例的图。

图4是概略地示出例示的激光装置的结构的图。

图5是概略地示出半导体工厂的光刻系统的结构例的图。

图6是示出实施方式1的光刻系统的结构的图。

图7是示出光刻单元管理服务器的功能的框图。

图8是示出文件A中包含的表数据的例子的图表。

图9是示出晶片处理枚数Wn、曝光脉冲数Nex和振荡脉冲数Np各自的经时变化的曲线图。

图10是示出每1日的晶片处理枚数Wnday、每1日的曝光脉冲数Nexday和每1日的振荡脉冲数Npday各自的经时变化的曲线图。

图11是示出从激光装置输出的脉冲激光的脉冲能量Ep的经时变化的曲线图。

图12是示出文件B中包含的表数据(1)的例子的图表。

图13是示出文件B中包含的表数据(2)的例子的图表。

图14是示出文件B中包含的表数据(3)的例子的图表。

图15是示出标准维护日计算部中的处理内容的例子的流程图。

图16是示出文件C中包含的表数据的例子的图表。

图17是示出文件D中包含的表数据的例子的图表。

图18是示出维护调度计划部中的处理内容的例子的流程图。

图19是示出成本优势计算处理的例子的流程图。

图20是示出文件E中包含的表数据的例子的图表。

图21是示意地示出标准维护日和提前的最佳维护日的关系的说明图。

图22是示出实施方式2的光刻单元管理服务器的功能的框图。

图23是示出文件A(2)中包含的表数据的例子的图表。

图24是示出文件F中包含的表数据的例子的图表。

图25是示出图22所示的标准维护日计算部中的处理内容的例子的流程图。

图26是示出图22所示的维护调度计划部中的处理内容的例子的流程图。

图27是示出成本优势计算处理的例子的流程图。

图28是示出实施方式3的光刻单元管理服务器的功能的框图。

图29是示出文件A(3)中包含的表数据的例子的图表。

图30是示出文件F(2)中包含的表数据的例子的图表。

图31是示出实施方式4的光刻单元管理服务器的功能的框图。

图32是示出文件G中包含的日志数据的例子的图表。

图33是示出预测寿命值计算部的处理内容的例子的流程图。

图34是示出更换模块和更换部件的寿命预测处理的例子的流程图。

图35是示出将寿命参数值和寿命监视参数值的关系做成曲线图的例子的图。

图36是示出将寿命参数值和寿命监视参数值的关系做成曲线图的另一例的图。

图37是示出实施方式5的光刻单元管理服务器的功能的框图。

图38是示出消耗品选定部中的处理内容的例子的流程图。

图39是示出成本优势计算处理的例子的流程图。

图40是示出文件H中包含的表数据的例子的图表。

图41是示意地示出标准维护日和提前到维护候选日的最佳维护日的关系的说明图。

图42是示出实施方式6的光刻单元管理服务器的功能的框图。

图43是示出第1寿命参数复位部中的处理内容的例子的流程图。

图44是示出文件E(2)中包含的表数据的例子的图表。

图45是反映了图44的表数据的维护调度计划的概念图。

图46是示出根据成本最小化的观点生成维护调度计划的处理的例子的流程图。

图47是示出消耗品的寿命预测中使用的监视参数的例子的图表。

图48是示出维护调度计划部中的处理内容的例子的流程图。

图49是示出光刻系统的另一个形式例的框图。

图50是示出半导体工厂中的光刻系统的另一个形式例的图。

图51是示出实施方式7的光刻单元管理服务器的功能的框图。

图52是示出文件D(2)中包含的表数据的例子的图表。

图53是示出标准维护日计算部中的处理内容的例子的流程图。

图54是示出实施方式8的光刻单元管理服务器的功能的框图。

图55是示出文件J中包含的表数据的例子的图表。

图56是示出标准维护时间计算部中的处理内容的例子的流程图。

具体实施方式

-目录-

1.用语的说明

2.光刻单元的说明

2.1 结构

2.2 动作

2.2.1 C/D装置和曝光装置内的晶片移动和预校准部的动作

2.2.2 C/D装置的维护

2.2.3 曝光装置的曝光工序的动作

2.2.4 曝光装置的维护

3.激光装置的说明

3.1 结构

3.2 动作

3.3 激光装置的维护

3.4 其他

4.光刻系统的说明

4.1 结构

4.2 动作

5.课题

6.实施方式1

6.1 结构

6.2 动作

6.2.1 光刻单元管理服务器的动作

6.2.2 文件A中包含的表数据的例子

6.2.3 文件B中包含的表数据(1)的例子

6.2.4 文件B中包含的表数据(2)的例子

6.2.5 文件B中包含的表数据(3)的例子

6.2.6 标准维护日计算部的处理例

6.2.7 文件C和文件D的具体例

6.2.8 维护调度计划部的处理例

6.2.9 文件E的具体例

6.3 作用/效果

6.4 其他

7.实施方式2

7.1 结构

7.2 动作

7.2.1 光刻单元管理服务器的动作

7.2.2 文件A(2)中包含的表数据的例子

7.2.3 文件F中包含的表数据的例子

7.2.4 标准维护日计算部的处理例

7.2.5 维护调度计划部的处理例

7.3 作用/效果

8.实施方式3

8.1 结构

8.2 动作

8.2.1 光刻单元管理服务器的动作

8.2.2 文件A(3)中包含的表数据的例子

8.2.3 文件F(2)中包含的表数据的例子

8.3 作用/效果

9.实施方式4

9.1 结构

9.2 动作

9.2.1 光刻单元管理服务器的动作

9.2.2 文件G中包含的日志数据的例子

9.2.3 预测寿命值计算部的处理例

9.3 作用/效果

10.实施方式5

10.1 结构

10.2 动作

10.2.1 光刻单元管理服务器的动作

10.2.2 消耗品选定部的处理例

10.2.3 文件H中包含的表数据的例子

10.3 作用/效果

11.实施方式6

11.1 结构

11.2 动作

11.2.1 光刻单元管理服务器的动作

11.3 作用/效果

11.4 其他

12.维护调度计划的优化的例子

13.监视参数的具体例

14.在相同装置中在同日更换多个消耗品时的停工时间削减的例子

14.1 流程图的例子

14.2 作用/效果

14.3 其他

15.光刻系统的另一个形式

15.1 结构

15.2 动作

15.3 作用/效果

15.4 其他

16.实施方式7

16.1 以线单位对维护调度计划进行优化的形式的概要

16.2 结构

16.3 动作

16.4 作用/效果

16.5 变形例

17.实施方式8

17.1 结构

17.2 动作

17.3 作用/效果

17.4 变形例

18.实施方式的组合

19.记录了程序的计算机可读介质

下面,参照附图对本公开的实施方式进行详细说明。以下说明的实施方式示出本公开的几个例子,不限定本公开的内容。此外,各实施方式中说明的结构和动作并不一定全都是本公开的结构和动作所必须的。另外,对相同结构要素标注相同参照标号并省略重复说明。

1.用语的说明

“光刻单元”是进行抗蚀剂的涂布、曝光和显影的加工装置的1组单位的装置组。下面将光刻单元(Lithography cell)称为光刻单元(Litho cell)。光刻单元是“光刻系统”的一个单位。光刻系统包含至少1个光刻单元。

在光刻单元中例如包含涂布/显影装置、曝光装置和激光装置。光刻单元可以在涂布抗蚀剂后实施校准,然后进行曝光。

“涂布/显影装置”包含对基板涂布抗蚀剂的涂布器部和进行显影的显影器部。涂布/显影装置被表记为“C/D装置”。“C/D”是涂布器/显影器的简称表记。“C/D装置”也可以还包含在与曝光装置之间输送晶片的内联接口部。

“曝光装置”也可以还包含将从激光装置输出的激光传输到曝光装置的射束传输单元(BDU)。

“抗蚀剂”意味着光致抗蚀剂。

“消耗品”用作总括地表示需要定期维护的部件或模块等物品的用语。更换部件或更换模块包含在“消耗品”的概念中。模块可以理解为部件的一个形式。在本说明书中,有时与“更换模块或更换部件”同义地使用“消耗品”这样的用语。维护包含消耗品的更换。在“更换”的概念中,除了将消耗品置换为新的以外,还包含清洗消耗品等实现部件的功能的维持和/或复原并再次配置相同的消耗品的情况。

2.光刻单元的说明

2.1结构

图1是概略地示出光刻单元的结构例的主视图。图1所示的光刻单元10包含C/D装置12、曝光装置14和激光装置16。曝光装置14包含BDU15。

在图2和图3中示出光刻单元10的详细图。图2相当于俯视图,图3相当于主视图。另外,激光装置16的详细例子使用图4在后面叙述。

如图2和图3所示,C/D装置12包含第1晶片载体21、第2晶片载体22、涂布器部24、显影器部25、测定部26、晶片输送线28、过滤器部29和C/D控制部30。

第1晶片载体21是收纳曝光前的多个晶片35的装置。第2晶片载体22是收纳曝光后的多个晶片35的装置。涂布器部24包含均未图示的抗蚀剂涂布器、预烘烤装置和冷却装置。涂布器部24是在晶片35涂布抗蚀剂、对抗蚀剂进行预烘烤并冷却的装置。

显影器部25包含均未图示的后烘烤装置、冷却装置和显影装置。显影器部25是对被曝光的晶片35进行后烘烤并冷却后、对抗蚀剂进行显影的装置。

测定部26是测定通过曝光而形成于晶片35的抗蚀剂图案的形状的测定装置。测定部26例如可以是对晶片表面照射电子束并检测被放出的二次电子或反射电子的扫描型电子显微镜(SEM:Scanning Electron Microscope)的检查装置。

晶片输送线28被配置成横贯于涂布器部24与显影器部25之间。晶片输送线28构成为能够将晶片35分别输送到第1晶片载体21和涂布器部24的各装置、曝光装置14和显影器部25的各装置、测定部26、第2晶片载体22。

在过滤器部29配置有去除洁净室内的空气中包含的杂质气体的化学过滤器。过滤器部29包含计测C/D装置12内的氨气等杂质气体的浓度的未图示的气体分析装置。过滤器部29具有将化学过滤器的动作时间或杂质气体浓度的信息送到C/D控制部30的信号线。

C/D控制部30对第1晶片载体21、第2晶片载体22、涂布器部24、显影器部25、测定部26和晶片输送线28各自的动作进行控制。在图2和图3中,通过用圆圈包围a~g的标号的记号,表示在第1晶片载体21、第2晶片载体22、涂布器部24、显影器部25、测定部26、晶片输送线28和过滤器部29的每一方与C/D控制部30之间传输信号的各信号线。

曝光装置14包含第1臂41、第2臂42、第1引导件43、第2引导件44、预校准部46、曝光部48、过滤器部49和曝光控制部50。

第1臂41被支承于第1滑动件43A,能够借助第1滑动件43A沿着第1引导件43移动。第2臂42被支承于第2滑动件44A,能够借助第2滑动件44A沿着第2引导件44移动。

与C/D装置12的过滤器部29同样,过滤器部49包含去除洁净室内的空气中包含的杂质气体主要是氨气的化学过滤器、以及计测C/D装置12内的氨气等杂质气体的浓度的未图示的气体分析装置。过滤器部49具有将化学过滤器的动作时间或杂质气体浓度的信息送到曝光控制部50的信号线。另外,过滤器部49也可以包含在曝光部48中。

如图3所示,曝光部48包含第1高反射镜51、衰减器52、扩束器56、第2高反射镜62、第3高反射镜63、照明光学系统66、掩模版74和掩模版台76。

曝光装置14也可以包含BDU15。BDU15是从激光装置16向曝光装置14传输激光的光学系统,例如可以包含未图示的多个高反射镜。

第1高反射镜51被配置成使得通过了BDU15的激光入射到第2高反射镜62。衰减器52被配置于第1高反射镜51与扩束器56之间的光路上。衰减器52包含2枚部分反射镜53、以及使各个部分反射镜53的入射角可变的旋转台54。

扩束器56被配置于衰减器52与第2高反射镜62之间的光路上。扩束器56包含凹透镜57和凸透镜58,构成为将射束扩大成规定的激光形状。

第2高反射镜62被配置成使得激光入射到第3高反射镜63。也可以在第2高反射镜62与第3高反射镜63之间的光路上配置未图示的准直光学系统。第3高反射镜63被配置成使得激光入射到照明光学系统66。

照明光学系统66包含复眼透镜67、聚光光学系统68、分束器69、聚光透镜70和第1光传感器71。

复眼透镜67和聚光光学系统68被配置成使得激光对掩模版74进行柯勒氏照明。例如,以复眼透镜67的焦点与聚光光学系统68的前侧焦点面一致、且在聚光光学系统68的后侧焦点面配置掩模版74的方式,配置复眼透镜67和聚光光学系统68。

分束器69被配置于复眼透镜67与聚光光学系统68之间的光路上。分束器69被配置成使得激光的一部分入射到聚光透镜70。

第1光传感器71被配置于聚光透镜70的焦点面。第1光传感器71例如可以是二维的图像传感器。由第1光传感器71检测到的信号被送到曝光控制部50。

掩模版74是形成有半导体的电路图案的掩模。掩模版74借助未图示的保持架而被固定于掩模版台76。

此外,曝光部48包含投影光学系统78、晶片保持架80、晶片台81和第2光传感器82。

投影光学系统78被配置成使得掩模版74的像形成在被涂布于晶片35的抗蚀剂上。投影光学系统78由未图示的多枚透镜构成。

晶片台81借助晶片保持架80来固定晶片35。

第2光传感器82被配置于晶片台81上,以能够以离线的方式计测晶片35上的照度。由第2光传感器82检测到的信号被送到曝光控制部50。

曝光控制部50对第1滑动件43A、第2滑动件44A、预校准部46、衰减器52、掩模版台76、晶片台81和其他的曝光装置14的各部的动作进行控制。

在图2和图3中,通过用圆圈包围h~k的标号的记号,表示第1滑动件43A、第2滑动件44A、预校准部46和过滤器部49的每一方与曝光控制部50之间的各信号线。此外,曝光控制部50与C/D控制部30和激光控制部90分别连接。

在本公开中,作为C/D控制部30、曝光控制部50、激光控制部90和其他的各控制部发挥功能的控制装置能够通过1台或多台计算机的硬件和软件的组合来实现。软件与程序同义。可编程控制器包含在计算机的概念中。计算机能够构成为包含CPU(CentralProcessing Unit:中央处理单元)和存储器。软件与程序同义。可编程控制器包含在计算机的概念中。计算机中包含的CPU是处理器的一例。

此外,控制装置的处理功能的一部分或全部也可以使用以FPGA(FieldProgrammable Gate Array:现场可编程门阵列)或ASIC(Application SpecificIntegrated Circuit:专用集成电路)为代表的集成电路实现。

此外,还能够利用1台控制装置实现多个控制装置的功能。进而,在本公开中,控制装置可以经由局域网或互联网这样的通信网络而相互连接。在分散计算环境中,程序单元也可以被保存在本地和远程双方的存储设备中。

2.2动作

2.2.1C/D装置和曝光装置内的晶片移动和预校准部的动作

这里,对图2和图3所示的C/D装置12和曝光装置14内的晶片35的运动进行说明。在第1晶片载体21收纳有抗蚀剂涂布前的多个晶片。被收纳于第1晶片载体21中的晶片35经由晶片输送线28被输送到涂布器部24。

涂布器部24对晶片35表面涂布抗蚀剂,对抗蚀剂进行预烘烤,对被预烘烤的晶片35进行冷却。该被冷却的晶片35经由晶片输送线28被输送到曝光装置14。

被涂布了抗蚀剂的晶片35被第1臂41从晶片输送线28取出,与第1臂41一起沿着第1引导件43移动,被配置于预校准部46。

在预校准部46中,例如,以晶片35的外形基准进行中心位置和旋转角的调整。然后,晶片35被交给第2臂42,沿着第2引导件44被输送到装载位置,被装载于晶片台81的晶片保持架80。

然后,借助掩模版74的规定的器件图案对该晶片35上的各发射区域进行曝光。

晶片整体的曝光处理结束后的晶片35沿着第2引导件44和第1引导件43被输送到C/D装置12的晶片输送线28。

被曝光的晶片35经由晶片输送线28被输送到显影器部25。显影器部25对被曝光的晶片35进行后烘烤,在冷却后对抗蚀剂进行显影。被显影的晶片355经由晶片输送线28被输送到测定部26。

在测定部26中,测定通过曝光而形成于晶片35的抗蚀剂图案的形状。根据需要,由测定部26检查通过曝光而形成于晶片35的图案的线宽度、重合误差等,然后,晶片35沿着晶片输送线28被收纳于第2晶片载体22。

另外,在该光刻工序结束后,第2晶片载体22内的例如一批晶片例如被输送到用于执行蚀刻或离子注入等图案形成工序和抗蚀剂剥离工序等的未图示的生产线。

此外,C/D控制部30通过过滤器部29的气体分析装置计测C/D装置12内的空气中的杂质气体浓度,将计测值的数据发送到C/D装置用管理系统202(参照图5)。

2.2.2C/D装置的维护

需要C/D装置的服务工程师的C/D装置12的主要维护作业是均未图示的化学过滤器、基板旋转模块、排水回收模块和排气模块各自的更换或维持作业。这些消耗品(模块或部件)的更换时期利用运转时间或晶片处理枚数等寿命参数进行管理。与这些消耗品的更换作业和更换后的确认作业等有关的维护有时需要数小时。

2.2.3曝光装置的曝光工序的动作

接着,对曝光装置14的曝光工序的动作进行说明。曝光控制部50进行控制,以使掩模版台76和晶片台81进行动作,由此对掩模版74和晶片35的校准进行调节,使掩模版74和晶片35的曝光区来到扫描曝光的初始位置。

曝光控制部50借助衰减器52的旋转台54对2枚部分反射镜53的角度进行控制,以使晶片35上的注量成为规定的值。曝光控制部50向激光控制部90发送各种目标值。在各种目标值中例如包含目标脉冲能量或目标波长等。

曝光控制部50在从激光装置16接收到受理发光触发信号的信号后,将发光触发信号发送到激光装置16。与该发光触发信号同步地,从激光装置16输出脉冲激光。

按照发光触发信号而从激光装置16输出的脉冲激光在第1高反射镜51进行高反射,入射到衰减器52。

透射过衰减器52的脉冲激光被减光,入射到扩束器56。脉冲激光的射束透射过扩束器56,由此被整形为规定的射束形状。

由扩束器56进行射束整形后的脉冲激光经由第2高反射镜62和第3高反射镜63入射到照明光学系统66。

通过复眼透镜67生成多个2次光源,通过聚光光学系统68将分束器69的透射光引导至掩模版74,由此,被配置于聚光光学系统68的后侧焦点面的掩模版74被进行柯勒氏照明。其结果,在掩模版74上,脉冲激光的强度分布被均匀化。

通过分束器69,透射过复眼透镜67的脉冲激光的一部分光被反射,经由聚光透镜70入射到第1光传感器71。在第1光传感器71的受光面中也被进行柯勒氏照明,因此,通过利用第1光传感器71计测强度分布,曝光控制部50能够监视掩模版74上的激光束的均匀性或曝光装置14内的激光的透射率。

透射过掩模版74的脉冲激光通过投影光学系统78在晶片35的抗蚀剂上被成像并被曝光。

掩模版74和晶片35在彼此向相反方向进行等速直线运动时,使发光触发信号、掩模版台76和晶片台81同步地进行曝光,由此,1个区间的曝光对象区域的曝光完成。

使晶片台81移动到下一个曝光位置后,曝光控制部50向激光装置16发送发光触发信号,反复进行上述一连串扫描曝光。

晶片整体的曝光处理结束后的晶片35被从晶片台81向C/D装置12输送。

此外,曝光控制部50根据从激光装置16输出的脉冲激光的脉冲能量和第1光传感器71的检测值计算照明光学系统66的透射率,将计算出的透射率的数据发送到曝光装置用管理系统204(参照图5)。

2.2.4曝光装置的维护

需要曝光装置14的服务工程师的曝光装置14的主要维护作业例如具有以下这种作业。

[作业1]从BDU15到照明光学系统66的光学元件或光学模块的更换作业。

[作业2]用于输送晶片35并将其配置于晶片台81的机械部件或曝光部48的晶片台81等模块的更换作业。

[作业3]化学过滤器的更换作业。

作业1~作业3所例示的消耗品的更换时期利用以下的寿命参数进行管理。

作业1的消耗品的更换时期利用曝光脉冲数进行管理。曝光脉冲数与脉冲激光通过的脉冲数大致相等。作业2的消耗品的更换时期利用晶片的处理枚数进行管理。作业3的消耗品的更换时期利用运转时间进行管理。更换这些消耗品时的维护有时需要1小时~24小时。

3.激光装置的说明

3.1结构

在图4中概略地示出例示的激光装置的结构。激光装置16例如是KrF准分子激光装置,包含激光控制部90、激光腔100、逆变器102、前镜104、窄带化模块(LNM:Line NarrowModule)106、监视器模块108、充电器110、脉冲功率模块(PPM)112、气体供给装置114、气体排出装置116和射出口闸门118。

激光腔100包含第1窗口121、第2窗口122、横流风扇(CFF)123、使CFF123旋转的马达124、一对电极125、126、电气绝缘物127、压力传感器128和未图示的热交换器。

逆变器102是马达124的电源供给装置。逆变器102从激光控制部90接收指令信号,该指令信号确定向马达124供给的电力的频率。

PPM112经由激光腔100的电绝缘物127中的馈通孔而与电极125连接。PPM112包含半导体开关129、均未图示的充电电容器、脉冲变压器和脉冲压缩电路。

前镜104是部分反射镜,被配置成与LNM106一起构成光谐振器。激光腔100被配置于该光谐振器的光路上。另外,前镜104作为输出耦合镜发挥功能。

LNM106包含使用第1棱镜131和第2棱镜132的扩束器、旋转台134以及光栅136。第1棱镜131和第2棱镜132被配置成在Y轴方向上扩大从激光腔100的第2窗口122射出的光的射束,使其入射到光栅136。

这里,光栅136被进行利特罗配置,使得激光的入射角和衍射角一致。第2棱镜132被配置于旋转台134上,以使得在旋转台134旋转时,激光相对于光栅136的入射角和衍射角变化。

监视器模块108包含第1分束器141和第2分束器142、脉冲能量检测器144以及谱检测器146。第1分束器141被配置于从前镜104输出的激光的光路上,被配置成使得激光的一部分反射光入射到第2分束器142。

脉冲能量检测器144被配置成供透射过第2分束器142的激光入射。脉冲能量检测器144例如可以是计测紫外线的光强度的光电二极管。第2分束器142被配置成使得激光的反射光入射到谱检测器146。

谱检测器146例如是利用图像传感器计测由标准具生成的干涉条纹的监视标准具计测装置。根据所生成的干涉条纹计测激光的中心波长和谱线宽度。

KrF准分子激光装置的情况下的气体供给装置114经由配管而与惰性激光气体的供给源即惰性气体供给源152和包含卤素的激光气体的供给源即卤素气体供给源153分别连接。惰性激光气体是Kr气体和Ne气体的混合气体。包含卤素的激光气体是F

气体供给装置114分别包含用于将规定量的惰性激光气体或包含卤素的激光气体分别供给到激光腔的未图示的自动阀和质量流量控制器。

气体排出装置116经由配管而与激光腔100连接。气体排出装置116包含去除卤素的未图示的卤素过滤器和排气泵,构成为将去除了卤素的激光气体排出到外部。

射出口闸门118被配置于从激光装置16向外部输出的激光的光路上。

3.2动作

对激光装置16的动作进行说明。激光控制部90经由气体排出装置116排出位于激光腔100内的气体后,经由气体供给装置114向激光腔100内填充惰性激光气体和包含卤素的激光气体,以成为期望的气体组成和总气压。

激光控制部90借助逆变器102使马达124以规定的转速旋转,使CFF123旋转。由此,激光气体在电极125、126之间流动。

激光控制部90从曝光装置14接收目标脉冲能量Et,向充电器110发送充电电压Vhv的数据,以使脉冲能量成为Et。

充电器110进行充电,以使PPM112的充电电容器成为充电电压Vhv。当从曝光装置14输出第1触发信号Tr1时,与第1触发信号Tr1同步地,第2触发信号Tr2从激光控制部90输入到PPM112的半导体开关129。当该半导体开关129进行动作后,通过PPM112的磁压缩电路对电流脉冲进行压缩,高电压被施加到电极125、126之间。其结果,在电极125、126之间产生放电,在放电空间中,激光气体被激励。

在放电空间的被激励的激光气体成为基态时,产生准分子光。该准分子光在前镜104与LNM106之间往复而被放大,由此进行激光振荡。其结果,从前镜104输出被窄带化的脉冲激光。

从前镜104输出的脉冲激光入射到监视器模块108。在监视器模块108中,通过第1分束器141对激光的一部分进行采样,经由第2分束器142分别入射到脉冲能量检测器144和谱检测器146。

通过脉冲能量检测器144计测从激光装置16输出的脉冲激光的脉冲能量E,从脉冲能量检测器144向激光控制部90发送所计测出的脉冲能量E的数据。

此外,通过谱检测器146计测中心波长λ和谱线宽度Δλ,从谱检测器146向激光控制部90发送所计测出的中心波长λ和谱线宽度Δλ的数据。

激光控制部90从曝光装置14接收目标脉冲能量Et和目标波长λt。激光控制部90根据由脉冲能量检测器144计测出的脉冲能量E和目标脉冲能量Et进行脉冲能量的控制。脉冲能量的控制包含对充电电压Vhv进行控制以使得由脉冲能量检测器144计测出的脉冲能量E与目标脉冲能量之差ΔE=E-Et接近0。

激光控制部90根据由谱检测器146计测出的中心波长λ和目标波长λt进行波长的控制。波长的控制包含对旋转台134的旋转角进行控制以使得由谱检测器146计测出的中心波长λ与目标波长λt之差δλ=λ-λt接近0。

如上所述,激光控制部90从曝光装置14接收目标脉冲能量Et和目标波长λt,每当被输入发光触发信号Tr1时,与发光触发信号Tr1同步地使激光装置16输出脉冲激光。

激光装置16反复进行放电后,电极125、126消耗,激光气体中的卤素气体被消耗,并且生成杂质气体。激光腔100内的卤素气体浓度的降低或杂质气体的增加对脉冲激光的脉冲能量的降低或脉冲能量的稳定性造成不良影响。激光控制部90例如执行以下的气体控制,以抑制这些不良影响。

[1]卤素注入控制

激光控制部90进行卤素注入控制。卤素注入控制是如下的气体控制:在激光振荡中,以比激光腔100内的卤素气体高的浓度注入包含卤素的气体,由此,对激光腔100补充激光腔100内主要通过放电被消耗的量的卤素气体。

[2]部分气体更换控制

激光控制部90进行部分气体更换控制。部分气体更换控制是如下的气体控制:在激光振荡中,将激光腔100内的激光气体的一部分更换为新的激光气体,以抑制激光腔100内的杂质气体的浓度的增加。

[3]气压控制

激光控制部90进行气压控制。气压控制是如下的气体控制:针对从激光装置16输出的脉冲激光的脉冲能量的降低,向激光腔100内注入激光气体而使激光气体的气压Pch变化,由此对脉冲能量进行控制。通常,通过对充电电压Vhv进行控制来进行脉冲能量的控制,但是,在充电电压Vhv的控制范围内无法补偿从激光装置16输出的脉冲激光的脉冲能量的降低的情况下,执行气压控制。

在从激光腔100排出激光气体的情况下,激光控制部90对气体排出装置116进行控制。从激光腔100排出的激光气体通过未图示的卤素过滤器去除卤素气体,被排出到激光装置16的外部。

激光控制部90将振荡脉冲数、充电电压Vhv、激光腔100内的气压Pch、激光的脉冲能量E等各参数的数据发送到激光装置用管理系统206(参照图5)。

3.3激光装置的维护

需要激光装置的服务工程师的激光装置16的主要维护作业例如是激光腔100的更换作业、LNM106的更换作业、监视器模块108的更换作业等各作业。

这些主要维护对象模块(消耗品)的更换时期作为寿命参数,利用激光装置16的振荡脉冲数进行管理。这些主要消耗品的更换时间有时达到3小时~10小时。在这些主要消耗品中,更换时间最长的消耗品是激光腔100。

3.4其他

在图4所示的例子中,作为激光装置16,示出KrF准分子激光装置的例子,但是不限于该例子,也可以应用于其他激光装置。例如,激光装置16也可以是ArF准分子激光装置。

在图4所示的例子中,关于激光装置16的气体控制,示出实施卤素注入控制、部分气体更换控制和气压控制的情况,但是不限于该例子,例如不是必须实施气压控制。

4.光刻系统的说明

4.1结构

在图5中概略地示出半导体工厂的光刻系统的结构例。半导体工厂的光刻系统200包含多个光刻单元10、C/D装置用管理系统202、曝光装置用管理系统204、激光装置用管理系统206和半导体工厂管理系统208。

半导体工厂管理系统208经由网络210而与C/D装置用管理系统202、曝光装置用管理系统204和激光装置用管理系统206分别连接。

网络210是基于有线或无线或它们的组合的能够进行信息传递的通信线路。网络210可以是广域网,也可以是局域网。

为了分别识别光刻系统200中包含的多个光刻单元10,这里使用光刻单元识别标号#1、#2、…#k、…#w。w是光刻系统200中包含的光刻单元的数量。w是1以上的整数。k是1以上且w以下的范围的整数。

下面,为了便于说明,将光刻单元#k中包含的C/D装置12、曝光装置14和激光装置16分别表记为C/D装置#k、曝光装置#k和激光装置#k。这里,为了简化,示出各光刻单元#k包含C/D装置#k、曝光装置#k和激光装置#k各1台的形式。各光刻单元#k可以是图1~图4中说明的结构。

另外,多个光刻单元#1~#w的一部分或全部也可以是相互不同的形式。光刻单元#k中包含的C/D装置#k、曝光装置#k、激光装置#k各自的台数或配置形式等能够适当设计。各光刻单元#k构成为包含1个以上的C/D装置#k、1个以上的曝光装置#k和1个以上的激光装置#k。

C/D装置#1~#w和C/D装置用管理系统202分别与第1局域网211连接。曝光装置#1~#w和曝光装置用管理系统204分别与第2局域网212连接。激光装置#1~#w和激光装置用管理系统206分别与第3局域网213连接。

第1局域网211、第2局域网212和第3局域网213分别是独立的通信线路,成为彼此无法进行信息交换的结构。另外,在图5中,将第1局域网211显示为“LAN1”,将第2局域网212显示为“LAN2”,将第3局域网213显示为“LAN3”。

4.2动作

C/D装置用管理系统202关于各个C/D装置#1~#w,利用运转时间或晶片的处理枚数对消耗品的更换时期进行管理。

曝光装置用管理系统204关于各个曝光装置#1~#w,利用运转时间或晶片的处理枚数以及脉冲激光通过的脉冲数对消耗品的更换时期进行管理。

激光装置用管理系统206利用进行激光振荡的脉冲数对各个激光装置#1~#w的消耗品的更换时期进行管理。

C/D装置用管理系统202、曝光装置用管理系统204和激光装置用管理系统206分别可以将各自的维护的管理信息显示在各自的显示终端中,也可以经由网络210发送到半导体工厂管理系统208。

关于通过C/D装置用管理系统202管理C/D装置#1~#w的管理线、通过曝光装置用管理系统204管理曝光装置#1~#w的管理线、以及通过激光装置用管理系统206管理激光装置#1~#w的管理线,各个管理线独立,半导体工厂的管理者根据从各装置输出的维护管理的信息决定维护时期。

5.课题

如图5那样,关于C/D装置#1~#w的管理线、曝光装置#1~#w的管理线和激光装置#1~#w的管理线,各个管理线独立,根据维护管理的信息进行各装置的维护,因此,有时产生以下的课题。

[课题1]通过各装置各自的消耗品判定寿命的参数不同,因此,针对各自的消耗品决定最佳的维护时期是第1课题。判定寿命的参数例如是运转时间、晶片处理枚数、曝光装置的曝光脉冲数、激光振荡的脉冲数等。

[课题2]在光刻单元10内的装置中至少1个消耗品实施需要服务工程师的维护的情况下,在该光刻单元10中,晶片处理停止数小时以上。因此,对各光刻单元内的维护时期进行优化是第2课题。

另外,维护时期例如可以是实施维护的日子即维护日。此外,维护时期不限于维护日,也可以是维护日期时间。

6.实施方式1

6.1结构

图6是示出实施方式1的光刻系统的结构的图。对与图5的不同之处进行说明。图6所示的半导体工厂的光刻系统300成为在图5的光刻系统200的结构中追加了光刻单元管理服务器310的结构。光刻单元管理服务器310与网络210连接。

光刻单元管理服务器310经由网络210而与C/D装置用管理系统202、曝光装置用管理系统204、激光装置用管理系统206和半导体工厂管理系统208连接。

光刻单元管理服务器310构成为能够针对C/D装置用管理系统202、曝光装置用管理系统204、激光装置用管理系统206和半导体工厂管理系统208分别进行数据或信号的发送接收。

图7是示出光刻单元管理服务器310的功能的框图。光刻单元管理服务器310根据每个光刻单元的工作信息500、与更换模块和更换部件有关的维护信息501、以及每个光刻单元的停工时间导致的损失成本信息502,计算每个光刻单元的更换模块和更换部件的最佳维护日,进行维护调度的优化。光刻单元管理服务器310具有进行以下所示的文件A~E的生成和存储、信息的读出和写入的功能。

文件A是保存每个光刻单元的过去的工作信息的文件。文件B是保存与更换模块和更换部件有关的维护信息的文件。文件C是保存标准维护日和维护时间的文件。文件D是保存每个光刻单元的停工时间导致的损失成本信息的文件。文件E是保存被优化的每个光刻单元的更换模块和更换各部件的最佳维护调度的文件。

在工作信息500中包含文件A。在维护信息501中包含文件B。在文件B中例如可以保存每个光刻单元的更换模块和更换部件的寿命参数值、更换模块和更换部件各自的寿命值、更换模块和更换部件各自的更换成本的各数据。在损失成本信息502中包含文件D。

光刻单元管理服务器310包含数据取得部320、预先存储文件A的存储部330、预先存储文件B的存储部336、标准维护日计算部340和预先存储文件C的存储部350。此外,光刻单元管理服务器310包含预先存储文件D的存储部360、维护调度计划部370、预先存储文件E的存储部380和数据输出部390。存储部330、336、350、360、380使用硬盘装置和/或半导体存储器等存储设备构成。存储部330、336、350、360、380可以分别使用不同的存储装置构成,也可以构成为一个或多个存储装置中的存储区域的一部分。

在本公开中,C/D装置用管理系统202、曝光装置用管理系统204、激光装置用管理系统206、半导体工厂管理系统208和光刻单元管理服务器310分别能够通过1台或多台计算机的硬件和软件的组合实现。此外,各管理系统和光刻单元管理服务器310的处理功能的一部分或全部也可以使用以FPGA或ASIC为代表的集成电路实现。

6.2动作

6.2.1光刻单元管理服务器的动作

对图7所示的光刻单元管理服务器310的动作进行明。数据取得部320经由网络210取得以下所示的3种信息组,按照每个光刻单元整理数据。

[信息组1]数据取得部320经由C/D装置用管理系统202,针对各个C/D装置#1~#w,取得与工作状况有关的信息以及与更换模块和更换部件有关的维护信息。

[信息组2]数据取得部320经由曝光装置用管理系统204,针对各个曝光装置#1~#w,取得与工作状况有关的信息以及与更换模块和更换部件有关的维护信息。

[信息组3]数据取得部320经由激光装置用管理系统206,针对各个激光装置#1~#w,取得与工作状况有关的信息以及与更换模块和更换部件有关的维护信息。

数据取得部320根据所取得的信息组1~3,在文件A和文件B中写入信息。在文件A中,根据信息组1、信息组2和信息组3的与工作状况有关的信息,针对各个光刻单元#1~#w重新收集过去的工作信息,定期地保存工作状况的日志数据。

在文件B中,根据信息组1、信息组2和信息组3的与维护有关的信息,针对各个光刻单元#1~#w重新收集与更换模块和更换部件有关的维护信息,定期地保存数据。

数据取得部320还经由网络210从半导体工厂管理系统208取得每个光刻单元的停工时间导致的损失成本的信息,根据所取得的信息在文件D中写入信息。

在文件D中保存从半导体工厂管理系统208取得的各个光刻单元#1~#w的停工时间导致的损失成本的数据。

标准维护日计算部340根据文件A和文件B的数据计算每个光刻单元的更换模块和更换部件的标准维护日,将该计算值和维护时间保存在文件C中。

维护调度计划部370根据文件A、文件B、文件C和文件D的数据进行维护调度的优化。该优化例如是指,确定以使维护成本最小化这样的评价观点进行优化的情况下的维护日。由维护调度计划部370优化的维护日作为推荐维护日被提示。

维护调度计划部370将如下数据输出到文件E,该数据包含通过优化的处理求出的每个光刻单元的更换模块和更换部件的推荐维护日以及维护时间。在文件E中保存被优化的每个光刻单元的更换模块和更换部件的推荐维护日以及维护时间。维护调度计划部370可以将文件E的数据输出到数据输出部390。

数据输出部390将文件E的数据经由网络210输出到C/D装置用管理系统202、曝光装置用管理系统204、激光装置用管理系统206、半导体工厂管理系统208和未图示的显示终端。另外,光刻单元管理服务器310也可以具有用于显示文件E中保存的信息的显示装置。数据输出部390输出由维护调度计划部370优化的维护调度计划的生成结果。

6.2.2文件A中包含的表数据的例子

图8是示出文件A中包含的表数据的例子的图表。文件A是保存光刻单元#k(k=1,2,…w)的工作信息的文件。在文件A中,根据各装置的维护信息,针对各个光刻单元#1~#k~#w重新收集维护信息,定期地保存工作状况的日志数据。

文件A的表数据包含光刻单元#k的数据取得的日期和时刻D、从数据取得开始时起的晶片处理枚数Wn和每1日的晶片处理枚数Wnday;曝光装置(k)的从数据取得开始时起的曝光脉冲数Nex和每1日的曝光脉冲数Nexday;激光装置(k)的从数据取得开始时起的振荡脉冲数Np和每1日的振荡脉冲数Npday以及从激光装置输出的脉冲激光的脉冲能量Ep。

每1日的工作状况参数利用以下式子计算。

Wnday(k,i-1)={Wn(k,i)-Wn(k,i-1)}/{D(k,i)-D(k,i-1)} (1)

Nexday(k,i-1)={Nex(k,i)-Nex(k,i-1)}/{D(k,i)-D(k,i-1) (2)

Npday(k,i-1)={Np(k,i)-Np(k,i-1)}/{D(k,i)-D(k,i-1)} (3)

数据的取得定时周期性地设为例如每1日(24小时)。优选数据的取得周期为1/24日以上且1日以下。

关于每1日的工作状况的计算中使用的参数值Wnday(k)、Nexday(k)、Npday(k),分别计算i=m-h~i=m-1的范围的平均值,写入文件A中。

其中,i是表示数据编号的1以上的整数。h是正整数,是m-1~1之间的数字。

在h=m-1的情况下,成为i=1~i=m-1的范围的平均值。

在h=1的情况下,成为i=m-1的值。

根据需要决定h的值,求出平均值。

在今后的工作率与最近的工作率大致一致的情况下,也可以对近日中的数据进行平均化。例如,也可以对h=2以上且7以下的参数值进行平均化。

在图9、图10和图11中示出将图8的文件A中保存的数据做成曲线图的例子。图9是在纵轴分别描绘晶片处理枚数Wn、曝光脉冲数Nex和振荡脉冲数Np、在横轴描绘数据取得日的曲线图。

晶片处理枚数Wn、曝光脉冲数Nex和振荡脉冲数Np各自的曲线图示出几乎相同的倾向。但是,曝光脉冲数Nex比激光装置的振荡脉冲数Np稍小。这是因为,激光装置16直到脉冲能量或波长进入目标值的容许范围为止,进行调整振荡而不使脉冲激光入射到曝光装置14。

图10示出每1日的晶片处理枚数Wnday、每1日的曝光脉冲数Nexday、每1日的振荡脉冲数Npday各自的经时变化。

这些工作参数是为了计算每个光刻单元的更换模块或更换部件的维护日而使用的工作参数。图10所示的曲线图还能够替换为光刻单元#k的工作率的经时变化的曲线图。

图11是示出从激光装置16输出的脉冲激光的脉冲能量Ep的经时变化的曲线图。基本上,如果不存在抗蚀剂的变更或掩模版74的变更等曝光条件的变更,则脉冲能量Ep成为固定的值。

在被使用的抗蚀剂被变更而使抗蚀剂感光度变化的情况下、相同的抗蚀剂而掩模版74的掩模图案变化的情况下,有时所需的脉冲能量被变更。这里,取得从激光装置16输出的脉冲激光的脉冲能量Ep的数据。

根据脉冲激光的脉冲能量Ep,激光装置16和曝光装置14的更换模块或更换部件的寿命脉冲数有时变化。

<其他>

在图8~图10所示的例子中,视为光刻单元#k中的C/D装置#k的晶片处理枚数Wcdn和光刻单元#k中的曝光装置#k的晶片处理枚数Wexn几乎相同,设为光刻单元#k的晶片处理枚数Wn。即,设为满足“Wn≒Wcdn≒Wexn”的关系。

在图11的例子中,示出从激光装置16输出的脉冲激光的脉冲能量没有变化的情况,但是不限于该例子。例如,在被使用的抗蚀剂被变更而使抗蚀剂感光度变化的情况下、相同的抗蚀剂而掩模版74的掩模图案变化的情况下,有时所需的脉冲能量被变更。该情况下,取得从激光装置16输出的脉冲激光的脉冲能量的数据。

根据脉冲激光的脉冲能量,激光装置16和曝光装置14的更换模块或更换部件的寿命脉冲数有时变化。该情况在后面叙述,但是,能够通过将针对脉冲能量的寿命脉冲数预先保存在文件B中进行应对。

6.2.3文件B中包含的表数据(1)的例子

图12是示出文件B中包含的表数据(1)的例子的图表,示出每个光刻单元的各装置的更换模块和更换部件的维护信息的数据例。

针对每个光刻单元的各装置的更换模块或更换部件,保存寿命参数、寿命值Life(k,l,m)、标准维护时间Tmhs(k,l,m)、更换成本Cexc(k,l,m)、寿命参数值Pra(k,l,m)、计测寿命参数的日期和时刻Dme(k,l,m)、剩余的寿命参数值Prarl(k,l,m)作为表数据。其中,k是光刻单元编号,l是装置的编号,m是更换模块或更换部件的编号。

寿命参数被分类为以下4种。

[分类1]依赖于更换模块或更换部件的动作时间的参数

例如是更换化学过滤器后的空气通过的动作时间等。

[分类2]依赖于更换模块或更换部件的晶片处理枚数的参数

例如是更换C/D装置的基板旋转模块后的对晶片进行处理的枚数等。

[分类3]依赖于更换模块或更换部件的曝光脉冲数的参数

例如是更换曝光装置的照明光学系统的更换部件后的曝光脉冲数等。

[分类4]依赖于更换模块或更换部件的振荡脉冲数的参数

例如是更换激光装置的激光腔后的振荡脉冲数等。

图12中的每单位寿命的更换成本Cplife(k,l,m)根据以下的式子计算。

Cplife(k,l,m)=Cexc(k,l,m)/Life(k,l,m) (4)

维护时间不仅是各装置单体的维护时间,而设为对更换模块和更换部件进行更换时使光刻单元停止生产晶片的时间。即,维护时间表示由于实施维护而估计出的停工时间。

剩余的寿命参数值Prarl(k,l,m)根据以下的式子计算。

Prarl(k,l,m)=Life(k,l,m)-Pra(k,l,m) (5)

<其他>

在图12所示的例子中,为了简化,设为C/D装置的排水回收模块或基板旋转模块的寿命大致依赖于晶片的处理枚数而进行计算。

寿命参数不限于分类1~分类4。例如,也可以将抗蚀剂的使用量设为寿命参数。能够监视寿命参数和每1日的变动量即可,可以是任意的。

6.2.4文件B中包含的表数据(2)的例子

图13是示出文件B中包含的表数据(2)的例子的图表。在图13中示出在同日进行多个更换模块或更换部件的维护的情况下的维护时间的表数据。当在相同装置中在同日进行维护时,有时维护时间变短。

例如,针对相同的激光装置16,在同时(同日)更换窄带化模块(LNM)、激光腔(CH)、监视器模块(MM)和前镜(FM)中的至少2个以上的更换模块时,维护时间变短。在进行这种同日更换时,确认模块更换前后的激光性能的工序仅1次即可,因此,维护时间变短。

此外,不仅是激光装置16,可以说C/D装置12或曝光装置14的各装置也是同样的。进而,不仅是装置单位,在C/D装置12或曝光装置14的更换模块和更换部件的更换为多个而以光刻单元10的单位使维护时间变短的情况下,作为与其组合有关的表数据进行存储。

将这些数据分别作为表数据存储在文件B中,以上述组合在同日实施更换的情况下的维护时间使用该表数据的值。

6.2.5文件B中包含的表数据(3)的例子

图14是示出文件B中包含的另一个表数据(3)的例子的图表。在图14中示出从激光装置16输出的脉冲激光的脉冲能量不同的情况下的寿命值的表数据的例子。

一般而言,当脉冲激光的能量变高时,与激光装置16的模块或曝光装置14的光学系统有关的部件的寿命有时变短。

监视脉冲激光的脉冲能量Ep并使用图14所示的表数据的寿命值,由此,能够设立维护调度计划。

<其他>

一般而言,光学元件的寿命在单光子吸收的情况下和双光子吸收的情况下不同。

单光子吸收的情况例如由下式表示。

寿命参数=(Ep(k)/10)·振荡脉冲数 (6)

双光子吸收的情况例如由下式表示。

寿命参数=(Ep(k)/10)

激光装置16的寿命参数值Pra(k,3,m)也可以使用上述式(6)或式(7)进行计算。

此外,关于曝光装置14的寿命参数值Pra(k,2,2)和Pra(k,2,3),同样可以将上述式(6)或式(7)的振荡脉冲数置换为曝光脉冲数进行计算。

6.2.6标准维护日计算部的处理例

图15是示出标准维护日计算部340中的处理内容的例子的流程图。

在步骤S12中,标准维护日计算部340从文件A读入表示光刻单元#k的工作状况的工作信息的数据。例如,标准维护日计算部340从文件A读入图8所示的表示每1日的工作状况的参数值即Wnday(k)、Nexday(k)和Npday(k)。

在步骤S14中,标准维护日计算部340针对光刻单元#k的更换模块和更换部件,从文件B读入维护信息。例如,标准维护日计算部340从文件B读入图12所示的维护信息。此外,标准维护日计算部340读入剩余的寿命参数值Prarl(k,l,m)和取得寿命参数的日期和时刻Dme(k,l,m)。

在步骤S16中,标准维护日计算部340根据从文件A和文件B读入的数据计算光刻单元#k的更换模块和更换部件的标准维护日Dmds(k,l,m)。

标准维护日Dmds(k,l,m)根据以下的[a]~[d]所示的任意一个式子计算。

[a]寿命参数为时间的情况

Dmds(k,l,m)=Dme(k,l,m)+Prarl(k,l,m) (8)

[b]寿命参数为晶片处理枚数的情况

Dmds(k,l,m)=Dme(k,l,m)+Prarl(k,l,m)/Wnday(k) (9)

[c]寿命参数为曝光脉冲数的情况

Dmds(k,l,m)=Dme(k,l,m)+Prarl(k,l,m)/Nexday(k) (10)

[d]寿命参数为振荡脉冲数的情况

Dmds(k,l,m)=Dme(k,l,m)+Prarl(k,l,m)/Npday(k) (11)

在步骤S18中,标准维护日计算部340将包含步骤S16中计算出的标准维护日Dmds(k,l,m)的数据写入文件C中。标准维护日计算部340将标准维护日Dmds(k,l,m)和单体更换时的标准维护时间Tmhs(k,l,m)写入文件C中。

6.2.7文件C和文件D的具体例

图16是示出文件C中包含的表数据的例子的图表。在文件C中保存每个更换模块或更换部件的寿命参数、标准维护时间、标准维护日和每单位寿命的更换成本。

图17是示出文件D中包含的表数据的例子的图表。在文件D中,按照每个光刻单元保存停工时间导致的每单位时间的损失成本。以后,将停工时间导致的损失成本称为“停工时间成本”。

6.2.8维护调度计划部的处理例

图18是示出维护调度计划部370中的处理内容的例子的流程图。

在步骤S22中,维护调度计划部370从文件D读入光刻单元#k的每单位时间的停工时间成本。

在步骤S24中,维护调度计划部370从文件C读入光刻单元#k的更换模块和更换部件的表数据。

在步骤S26中,维护调度计划部370按照标准维护日从早到晚的顺序重新排列表数据。将重新排列后的表数据称为“排序后的表数据”。

在步骤S28中,维护调度计划部370将变量u和变量v分别初始化为初始值即“1”。

在步骤S30中,维护调度计划部370将变量s的值初始化为初始值即“1”。

在步骤S32中,维护调度计划部370将排序后的表数据中的第u个~第u+s个更换模块或更换部件的各维护日全部变更为第u个更换模块或更换部件的维护日Dmds(k,l,m,u),将该值设为Dmop(k,v)。

在步骤S34中,维护调度计划部370将第u个~第u+s个中最长时间的维护时间设为维护时间Tmop(k,v)。

在步骤S36中,维护调度计划部370计算成本优势。

图19是示出成本优势的计算处理的例子的流程图。图19的流程图被应用于图18的步骤S36。

在图19的步骤S52中,维护调度计划部370计算更换日的提前导致的增加成本Cexcup(k,v)。

增加成本Cexcup(k,v)根据下式计算。

Cexcup(k,v)=Σ{Cplife(k,l,m,q)·Parad(Dmds~Dmop)} (12)

式中的Σ表示q=u~q=u+s的总和。

Cplife(k,l,m,q)是排序后的表数据中的第q个更换模块或更换部件的每单位寿命的更换成本。

这里,Parad(Dmds~Dmop)定义为寿命参数值从各自的标准维护日Dmds提前到新设定的Dmop的日期而减少的第q个更换模块或更换部件的寿命参数的值。

该情况下的值成为每1日减少的寿命参数值与提前日数之积。

Parad(Dmds~Dmop)根据以下的[e]~[h]所示的任意一个式子计算。

[e]寿命参数为时间的情况

Parad(Dmds~Dmop)={Dmds(k,l,m,u)-Dmop(k,v)} (13)

[f]寿命参数为晶片处理枚数的情况

Parad(Dmds~Dmop)={Dmds(k,l,m,u)-Dmop(k,v)}·Wnday(k) (14)

[g]寿命参数为曝光脉冲数的情况

Parad(Dmds~Dmop)={Dmds(k,l,m,u)-Dmop(k,v)}·Nexday(k) (15)

[h]寿命参数为振荡脉冲数的情况

Parad(Dmds~Dmop)={Dmds(k,l,m,u)-Dmop(k,v)}·Npday(k) (16)

在步骤S54中,维护调度计划部370计算停工时间减少导致的减少成本Cdtd(k,v)。

减少成本Cdtd(k,v)根据下式计算。

Cdtd(k,v)={ΣTmhs(k,I,m,q)-Tmop(k,v)}·Cdt(k) (17)

Cdt(k)是每个光刻单元的每单位时间的停工时间成本(参照图17)。

在步骤S56中,维护调度计划部370根据步骤S52中求出的增加成本Cexcup(k,v)和步骤S54中求出的减少成本Cdtd(k,v)计算成本优势Cm(k,v)。

成本优势Cm(k,v)根据下式计算。

Cm(k,v)=Cdtd(k,v)-Cexcup(k,v) (18)

在步骤S56中求出成本优势Cm(k,v)后,结束图19的流程图,返回图18的主流程。

在图18的步骤S38中,维护调度计划部370判定是否存在成本优势。

维护调度计划部370在步骤S36中求出的Cm(k,v)满足Cm(k,v)>0的情况下,进入步骤S40,增加变量s的值,返回步骤S32。

在步骤S38的判定处理中为Cm(k,v)≤0的情况下,维护调度计划部370进入步骤S41。

在步骤S41中,维护调度计划部370使第u个~第u+s个维护日和维护时间返回文件C的数据。

然后,在步骤S42中,维护调度计划部370减少变量s的值,进入步骤S43。

在步骤S43中,维护调度计划部370将第u个~第u+s个更换模块或更换部件的各维护日全部变更为第u个更换模块或更换部件的维护日Dmds(k,l,m,u),将该值设为Dmop(k,v)。步骤S43的处理与步骤S32的处理相同。

在步骤S44中,维护调度计划部370将第u个~第u+s个中最长时间的维护时间设为维护时间Tmop(k,v)。步骤S44的处理与步骤S34的处理相同。

在步骤S45中,维护调度计划部370计算成本优势。步骤S45的处理与步骤S36的处理相同。

然后,在步骤S46中,维护调度计划部370增加变量v的值,并且对变量u加上s+1,将u+s+1新设为变量u的值。在步骤S46中分别更新了v的值和u的值后,在步骤S47中,维护调度计划部370判定是否满足u+1>umax。umax是更换模块和更换部件的总数。

维护调度计划部370在步骤S47的判定结果为否定判定的情况下,返回步骤S30。维护调度计划部370反复进行步骤S30~步骤S47,直到u的值达到umax为止。

当步骤S47的判定处理成为肯定判定时,维护调度计划部370进入步骤S48,在文件E中写入数据。在步骤S48之后,结束图18的流程图。

6.2.9文件E的具体例

图20是示出文件E中包含的表数据的例子的图表。在文件E的表数据中包含每个光刻单元的各消耗品的标准维护日、以单体更换各消耗品时的标准维护时间、每单位寿命的更换成本、按照每个光刻单元优化的最佳维护日、更换日的提前导致的增加成本、维护时间、停工时间减少成本和成本优势的各数据。

图21是示意地示出标准维护日和提前的最佳维护日的关系的说明图。图21反映图20所示的表数据的内容。

在图21中,例如,针对u=2和u=3的各消耗品,维护日比标准维护日提前,推荐在与u=1的消耗品的标准维护日相同的日子进行u=1~3的各消耗品的维护。

同样,针对u=5~7的各消耗品,维护日比标准维护日提前,推荐在与u=4的消耗品的标准维护日相同的日子进行u=4~7的各消耗品的维护。

此外,同样,针对u=9和u=10的各消耗品,维护日比标准维护日提前,推荐在与u=8的消耗品的标准维护日相同的日子进行u=9和u=10的各消耗品的维护。

进而,同样,针对u=12的消耗品,维护日比标准维护日提前,推荐在与u=11的消耗品的标准维护日相同的日子进行u=12的消耗品的维护。

6.3作用/效果

根据实施方式1,根据每个光刻单元的与工作状况有关的工作信息、每个光刻单元的消耗品的维护信息、每个光刻单元的维护的停工时间导致的损失成本,进行每个光刻单元的消耗品的维护调度的优化。根据实施方式1,跟与光刻单元的单位无关地按照每个装置进行维护的情况相比,能够减少维护成本或停工时间。

6.4其他

光刻单元管理服务器310是本公开中的“维护管理装置”的一例。实施方式1中的数据取得部320和存储部330的组合是本公开中的“工作信息处理部”的一例。数据取得部320和存储部336的组合是本公开中的“维护信息处理部”的一例。标准维护日计算部340是本公开中的“标准维护时期计算部”的一例。光刻单元管理服务器310实施的处理是本公开中的“维护管理方法”的一例。文件A中保存的工作信息的数据是本公开中的“工作数据”的一例。

7.实施方式2

7.1结构

图22是示出实施方式2的光刻单元管理服务器的功能的框图。能够代替图7中说明的光刻单元管理服务器310而使用图22所示的光刻单元管理服务器312。对与图7中说明的光刻单元管理服务器310的不同之处进行说明。

在实施方式2中,作为每个光刻单元的工作信息500,追加每个光刻单元的今后的工作预定信息。在图22所示的光刻单元管理服务器312处理的工作信息500中包含文件A(2)和文件F。光刻单元管理服务器312具有进行文件A(2)的生成、存储、信息的读出和写入的功能,该文件A(2)包含与图7中例示的表数据不同的表数据。将实施方式2中生成的、保存每个光刻单元的过去的工作信息的文件表记为文件A(2)。文件A(2)中包含的表数据的例子使用图23在后面叙述。

光刻单元管理服务器312包含预先存储文件A(2)的存储部332。

在光刻单元管理服务器312中追加进行文件F的生成、存储、信息的读出和写入的功能。文件F是保存每个光刻单元的今后的工作预定信息的文件。光刻单元管理服务器312包含预先存储文件F的存储部400。

此外,如图22所示,光刻单元管理服务器312代替图7的标准维护日计算部340和维护调度计划部370而包含标准维护日计算部342和维护调度计划部372。

7.2动作

7.2.1光刻单元管理服务器的动作

图22所示的光刻单元管理服务器312的数据取得部320从半导体工厂管理系统208取得日期和该日预定的晶片的处理枚数的数据,将其保存在文件F中。将按照每个日期预定的晶片的处理枚数称为“每日的预定晶片处理枚数”。数据取得部320根据日期和每日的预定晶片处理枚数的数据,计算本日以后的预定晶片处理枚数、每日的预定曝光脉冲数、本日以后的预定曝光脉冲数、每日的预定振荡脉冲数、本日以后的预定振荡脉冲数,将计算结果保存在文件F中。

根据文件A(2)中保存的每1枚晶片的曝光脉冲数Nexwef(k)和每1枚晶片的振荡脉冲数Npwef(k)进行上述各值的计算。包含每1枚晶片的曝光脉冲数Nexwef(k)和每1枚晶片的振荡脉冲数Npwef(k)的数据的表数据的例子在后面叙述(参照图23)。

标准维护日计算部342根据文件A(2)、文件B和文件F的数据计算标准维护日。

维护调度计划部372根据文件C和文件D的数据执行维护调度的优化。

7.2.2文件A(2)中包含的表数据的例子

图23是示出文件A(2)中包含的表数据的例子的图表。在实施方式2中使用的文件A(2)中,代替图8中说明的第1例的表数据的“每1日的曝光脉冲数”和“每1日的振荡脉冲数”而包含“每1枚晶片的曝光脉冲数”和“每1枚晶片的振荡脉冲数”的各信息。

数据取得部320分别按照以下的计算式计算每1枚晶片的曝光脉冲数Nexwef和每1枚晶片的振荡脉冲数Npwef,保存包含所求出的各值的表数据。

Nexwef(k,i-1)={Nex(k,i)-Nex(k,i-1)}/Wnday(k,i-1) (19)

Npwef(k,i-1)={Np(k,i)-Np(k,i-1)}/Wnday(k,i-1) (20)

Nexwef(k)是Nexwef(k,1)、Nexwef(k,2)、…、Nexwef(k,i-1)、…、Nexwef(k,j-1)的平均值。

Npwef(k)是Npwef(k,1)、Npwef(k,2)、…、Npwef(k,i-1)、…、Npwef(k,j-1)的平均值。

<其他>

另外,数据取得部320也可以将Nex设为纵轴、将Wn设为横轴来描绘数据,将通过最小二乘法进行线性近似而得的直线的梯度设为Nex(k)。

此外,数据取得部320也可以将Np设为纵轴、将Wn设为横轴来描绘数据,将通过最小二乘法进行线性近似而得的直线的梯度设为Np(k)。

7.2.3文件F中包含的表数据的例子

图24是示出文件F中包含的表数据的例子的图表。在图24中示出光刻单元#k的工作预定信息的数据的例子。

数据取得部320从半导体工厂管理系统208取得日期DATEpl和该日预定的晶片的处理枚数Wplpd,将其保存在文件F中。

数据取得部320根据所取得的数据计算本日以后的预定晶片处理枚数Wplpdsu、每日的预定曝光脉冲数Nexplpd、本日以后的预定曝光脉冲数Nexplsu、每日的预定振荡脉冲数Npplpd和本日以后的预定振荡脉冲数Npplsu,将计算结果保存在文件F中。

数据取得部320根据图23中说明的文件A(2)中保存的、每1枚晶片的曝光脉冲数Nexwef(k)和每1枚晶片的振荡脉冲数Npwef(k),按照下述计算式计算各值。

Wplpdsu(k,f)=Wplpd(k,1)+Wplpd(k,2)+Wplpd(k,3)+····+Wplpd(k,f)(21)

Nexplpd(k,f)=Wplpd(k,f)·Nexwef(k) (22)

Nexplsu(k,f)=Nexplpd(k,1)+Nexplpd(k,2)+Nexplpd(k,3)+····+Nexplpd(k,f) (23)

Npplpd(k,f)=Wplpd(k,f)·Npwef(k) (24)

Npplsu(k,f)=Npplpd(k,1)+Npplpd(k,2)+Npplpd(k,3)+····+Npplpd(k,f)(25)

另外,文件F中保存的工作预定信息的数据是本公开中的“工作预定数据”的一例。

7.2.4标准维护日计算部的处理例

图25是示出标准维护日计算部342中的处理内容的例子的流程图。在图25中,对与图15共通的步骤标注相同的步骤编号并省略重复说明。

能够代替图15的流程图或与图15的流程图组合来应用图25所示的流程图。在步骤S13中,标准维护日计算部342从文件F读入光刻单元#k的工作预定信息的数据。例如,标准维护日计算部342从文件F读入图24所示的每个光刻单元的工作预定信息。

步骤S14的处理与图15的步骤S14相同。在步骤S14中,标准维护日计算部342针对光刻单元#k的更换模块和更换部件,从文件B读入维护信息。例如,标准维护日计算部342从文件B读入图12所示的维护信息。此外,标准维护日计算部342读入剩余的寿命参数值Prarl(k,l,m)和取得寿命参数的日期和时刻Dme(k,l,m)。

在步骤S17中,标准维护日计算部342根据从文件F和文件B读入的数据,计算光刻单元#k的更换模块和更换部件的标准维护日。

标准维护日Dmds(k,l,m)设为剩余的寿命参数值Prarl(k,l,m)以下、且本日以后的晶片处理枚数Wplpdsu(k,f)、本日以后的曝光脉冲数Nexplsu(k,f)或本日以后的振荡脉冲数Npplsu(k,f)成为最大的值的日期。

在步骤S18中,标准维护日计算部342将标准维护日Dmds(k,l,m)和单体更换时的标准维护时间Tmds(k,l,m)写入文件C中。

7.2.5维护调度计划部的处理例

图26是示出维护调度计划部372中的处理内容的例子的流程图。在图26中,对与图18共通的步骤标注相同的步骤编号并省略重复说明。图26所示的流程图代替图18的步骤S36和步骤S45而包含步骤S36B和步骤S45B。

在步骤S36B中,维护调度计划部372使用从文件F得到的数据计算成本优势。

图27是示出成本优势计算处理的例子的流程图。图27的流程图分别被应用于图26的步骤S36B和步骤S45B。在图27中,对与图19共通的步骤标注相同的步骤编号并省略重复说明。

图27所示的流程图在步骤S52之前包含步骤S51。在步骤S51中,维护调度计划部372从文件F读入光刻单元#k的工作预定信息的数据。

在步骤S52中,维护调度计划部372能够根据文件F的数据计算Parad(Dmds~Dmop)的值。维护调度计划部372使用根据文件F的数据计算出的Parad(Dmds~Dmop)计算增加成本Cexcup(k,v)。

其他的处理内容与图19的流程图相同。

7.3作用/效果

根据实施方式2,根据每个光刻单元的过去的工作信息和每个光刻单元的今后的工作预定信息计算标准维护的日期,因此,与实施方式1相比,进一步改善了标准维护日的预测精度。

根据实施方式2,根据改善了预测精度的标准维护日和今后的工作预定信息计算最佳维护调度,因此,进一步改善了维护调度的优化的精度。

8.实施方式3

8.1结构

图28是示出实施方式3的光刻单元管理服务器313的功能的框图。对与图22中说明的实施方式2的光刻单元管理服务器312的不同之处进行说明。

在实施方式3中,在每个光刻单元的工作信息500中追加配方信息。在图28所示的光刻单元管理服务器313处理的工作信息500中包含文件A(3)和文件F(2)。光刻单元管理服务器313具有进行文件A(3)的生成、存储、信息的读出和写入的功能,该文件A(3)包含与图8中例示的表数据不同的表数据。将实施方式3中生成的保存每个光刻单元的过去的工作信息的文件表记为文件A(3)。文件A(3)包含配方信息。文件A(3)中包含的表数据的例子使用图29在后面叙述。光刻单元管理服务器313包含预先存储文件A(3)的存储部333。

此外,光刻单元管理服务器313具有进行文件F(2)的生成、存储、信息的读出和写入的功能,该文件F(2)包含与图24中例示的数据表不同的数据表。将实施方式3中生成的保存每个光刻单元的今后的工作信息的文件F表记为文件F(2)。文件F(2)包含配方信息。文件F(2)中包含的数据表的例子使用图30在后面叙述。光刻单元管理服务器313包含预先存储文件F(2)的存储部402。

此外,如图28所示,光刻单元管理服务器313代替图7的标准维护日计算部340和维护调度计划部370而包含标准维护日计算部342和维护调度计划部372。

8.2动作

8.2.1光刻单元管理服务器的动作

图28所示的光刻单元管理服务器313的数据取得部320从半导体工厂管理系统208取得配方信息,将其保存在文件A(3)中。数据取得部320将配方信息、与各配方对应的平均晶片处理枚数、与各配方对应的平均曝光脉冲数、与各配方对应的平均振荡脉冲数保存在文件A(3)中。

数据取得部320从半导体工厂管理系统208取得日期、该日预定的晶片的处理枚数和配方信息,将它们保存在文件F(2)中。

数据取得部320根据与各配方对应的平均晶片处理枚数、与各配方对应的平均曝光脉冲数和与各配方对应的平均振荡脉冲数,计算本日以后的预定晶片处理枚数、每日的预定曝光脉冲数、本日以后的预定曝光脉冲数、每日的预定振荡脉冲数和本日以后的预定振荡脉冲数,将计算结果保存在文件F(2)中。

标准维护日计算部342根据文件F(2)的数据计算标准维护日。

维护调度计划部372根据文件F(2)的数据执行维护调度的优化。

8.2.2文件A(3)中包含的表数据的例子

图29是示出文件A(3)中包含的表数据的例子的图表。实施方式3中使用的文件A(3)对图23中例示的表数据的内容进行追加,包含以下的数据。

即,在文件A(3)的表数据中追加配方的信息Lcip、与各配方对应的每1日的平均晶片处理枚数Wndaylc、与各配方对应的每1枚晶片的平均曝光脉冲数Nexweflc和与各配方对应的每1枚晶片的平均振荡脉冲数Npweflc。

在本说明书中,“配方”定义为与各抗蚀剂材料和各掩模图案对应的曝光条件。如果抗蚀剂材料被变更,则抗蚀剂感光度变化,因此,每1枚晶片的曝光脉冲数或激光装置的振荡脉冲数变化。如果掩模图案被变更,则每1枚晶片的最佳曝光量和振荡脉冲数变化。例如,在掩模图案为线与间隙的图案的情况下和接触孔图案的情况下,最佳曝光量和振荡脉冲数变化。

图29示出存在配方a、配方b和配方c这3种配方的情况下的例子。利用Lcip(k,a)、Lcip(k,b)和Lcip(k,c)示出光刻单元#k中的这3种配方的信息。

光刻单元管理服务器313的数据取得部320例如从半导体工厂管理系统208接收配方的信息Lcip,将接收到的数据保存在文件A(3)中。

此外,数据取得部320计算与各配方对应的每1日的平均晶片处理枚数Wndaylc、与各配方对应的每1枚晶片的平均曝光脉冲数Nexweflc和与各配方对应的每1枚晶片的平均振荡脉冲数Npweflc,将计算结果保存在文件A(3)中。

8.2.3文件F(2)中包含的表数据的例子

图30是示出文件F(2)中包含的表数据的例子的图表。在图30中示出文件F(2)中的光刻单元#k的工作预定信息的数据的例子。实施方式3中使用的文件F(2)追加于图24中例示的表数据的内容,包含以下的数据。

即,文件F(2)包含配方的信息Lcip和基于配方的信息的各脉冲数(Nexpld、Nexplsu、Npplpd、Npplus)的信息。

光刻单元管理服务器313的数据取得部320例如从半导体工厂管理系统208取得今后的工作预定的配方的信息Lcip,将其保存在文件F(2)中。

数据取得部320针对每日的预定曝光脉冲数Nexplpd和每日的预定振荡脉冲数Npplpd,根据文件A(3)中保存的与各配方对应的每1枚晶片的平均曝光脉冲数(Nexweflc(k,a),Nexweflc(k,b),Nexweflc(k,c))和与各配方对应的每1枚晶片的平均振荡脉冲数(Npweflc(k,a),Npweflc(k,b),Npweflc(k,c)),按照下述计算式计算各值。

Nexplpd(k,1~4)=Wplpd(k,1~4)·Nexweflc(k,b) (26)

Nexplpd(k,5~f)=Wplpd(k,5~f)·Nexweflc(k,a) (27)

Nexplpd(k,f+1~g)=Wplpd(k,f+1~g)·Nexweflc(k,c) (28)

<其他>

这里,配方示出a、b、c这3种的例子,但是,配方的数量不限于该例子,只要是2种以上即可。

这里,作为过去的工作信息,示出1日内配方相同的例子,但是,即使是相同日,如果配方不同,则也可以将配方的变更日和时刻详细地保存在文件A(3)中,按照每1日进行换算来计算。

此外,这里,作为工作预定信息,示出1日内配方相同的例子,但是,即使是相同日,如果配方不同,则也可以将配方的变更日和时刻详细地保存在文件F(2)中,更加详细地进行计算。

8.3作用/效果

根据实施方式3,根据每个光刻单元的过去的工作信息、每个光刻单元的今后的工作预定信息和各个配方信息计算标准维护日,因此,进一步改善了标准维护日的预测精度。

根据实施方式3,根据基于配方信息计算出的标准维护日和今后的工作预定信息计算最佳维护调度,因此,进一步改善了维护调度的优化的精度。

9.实施方式4

9.1结构

图31是示出实施方式4的光刻单元管理服务器314的功能的框图。对与图28中说明的实施方式3的光刻单元管理服务器313的不同之处进行说明。

在实施方式4中,作为与更换模块和更换部件有关的维护信息501,追加每个光刻单元的更换模块和更换部件的寿命预测信息。图31所示的光刻单元管理服务器314被追加了进行文件G的生成、存储、信息的读出和写入的功能。文件G是保存每个光刻单元的更换模块和更换部件的寿命预测信息的文件。光刻单元管理服务器314包含预先存储文件G的存储部410和预测寿命值计算部414。在光刻单元管理服务器314处理的维护信息501中包含文件B和文件G。

9.2动作

9.2.1光刻单元管理服务器的动作

图31所示的光刻单元管理服务器314的数据取得部320从C/D装置用管理系统202、曝光装置用管理系统204和激光装置用管理系统206定期地取得每个光刻单元的更换模块和更换部件的寿命预测信息。

寿命预测信息是为了预测更换模块和更换部件的寿命而使用的信息。寿命预测信息例如可以包含每个光刻单元的更换模块和更换部件的寿命参数值、监视寿命的监视参数的日志数据和监视参数的阈值。

数据取得部320将每个光刻单元的更换模块和更换部件的寿命参数值、监视寿命的监视参数的日志数据和监视参数的阈值保存在文件G中。寿命监视参数是与更换模块或更换部件的寿命相关的参数即可。实施方式4中的数据取得部320和保存部410的组合是本公开中的“寿命预测信息取得部”的一例。

预测寿命值计算部414根据基于文件G中保存的日志数据掌握的寿命监视参数的趋势,计算被预测为寿命监视参数到达监视参数的阈值的寿命参数值。将根据该计算结果得到的“被预测的寿命参数值”称为预测寿命值。预测寿命值计算部414将通过计算求出的预测寿命值的数据作为寿命值Life(k,l,m)写入文件B中。

9.2.2文件G中包含的日志数据的例子

图32是示出文件G中包含的日志数据的例子的图表。C/D装置的更换部件即化学过滤器应用更换后的动作时间作为寿命参数。化学过滤器的寿命参数值Pra是表示更换后的动作时间的值。化学过滤器的寿命监视参数是通过了化学过滤器的空气中的杂质浓度。化学过滤器的寿命监视参数值Cw是计测通过了化学过滤器的空气中的杂质浓度而得到的值。当化学过滤器的寿命监视参数值Cw到达阈值Cwth时,化学过滤器的杂质去除能力降低,能够判定为部件的寿命。

曝光装置的照明光学系统的更换部件主要是反射镜和其他的光学部件。照明光学系统的更换部件应用更换后的曝光脉冲数作为寿命参数。照明光学系统的更换部件的寿命参数值Pra是表示更换后的曝光脉冲数的值。照明光学系统的更换部件的寿命监视参数是照明光学系统的透射率。照明光学系统的更换部件的寿命监视参数值Til是表示照明光学系统的透射率的值。当寿命监视参数值到达阈值Tilth时,照明光学系统的更换部件劣化,能够判定为部件的寿命。

作为激光装置的更换部件的激光腔应用更换后的振荡脉冲数作为寿命参数。激光腔的寿命参数值Pra是表示更换后的振荡脉冲数的值。激光腔的寿命监视参数是激光腔的气压。激光腔的寿命监视参数值Pch是计测激光腔的气压而得到的值。当激光腔的寿命监视参数值Pch达到阈值Pchth时,激光腔劣化,能够判定为寿命。

<其他>

图32所示的文件G的例子是更换模块和更换部件的寿命预测信息的一例,在其他更换模块或其他更换部件中,只要能够预测寿命,则也可以将寿命参数和寿命监视参数的日志数据保存在文件G中。

9.2.3预测寿命值计算部的处理例

图33是示出预测寿命值计算部414的处理内容的例子的流程图。在步骤S62中,预测寿命值计算部414从文件G读入每个光刻单元的更换模块和更换部件的寿命预测信息。例如,预测寿命值计算部414从文件G读入图32中例示的每个光刻单元的更换模块和更换部件的寿命参数值、监视寿命的寿命监视参数的日志数据和监视参数的阈值。

在图33的步骤S64中,预测寿命值计算部414根据所读入的数据预测更换模块和更换部件的寿命。预测寿命值计算部414根据基于文件G中保存的日志数据掌握的寿命监视参数的趋势,计算预测寿命值。预测寿命值可以是寿命监视参数值到达监视参数的阈值的值。步骤S64的处理内容的具体例使用图34在后面叙述。

在步骤S66中,预测寿命值计算部414根据步骤S64中求出的预测寿命值,计算更换成本Cexc(k,l,m)、每单位寿命的更换成本Cplife(k,l,m)和剩余的寿命参数值Prarl(k,l,m)。

在步骤S68中,预测寿命值计算部414将通过步骤S66的计算而求出的数据写入文件B中,对数据进行更新。这里,也可以将初始的数据保存在未图示的存储器中,显示更新前后的比较数据。

图34是示出更换模块和更换部件的寿命预测处理的例子的流程图。图34的流程图被应用于图33的步骤S64。在图34的步骤S72中,预测寿命值计算部414将寿命参数值和寿命监视参数值的关系做成曲线图。所得到的曲线图被分类为图35所示的图案1或图36所示的图案2中的任意一方。

图35是示出将寿命参数值和寿命监视参数值的关系做成曲线图的例子的图。图35所示的图案1的曲线图具有如下关系:寿命参数值越大,则寿命监视参数值也越大。图32中说明的C/D装置中的化学过滤器和激光装置中的激光腔各自的寿命参数值和寿命监视参数值的关系相当于图案1。

图36是示出将寿命参数值和寿命监视参数值的关系做成曲线图的另一例的图。图36所示的图案2的曲线图具有如下关系:寿命参数值越大,则寿命监视参数值越小。图32中说明的曝光装置中的照明光学系统的更换部件的寿命参数值和寿命监视参数值的关系相当于图案2。

预测寿命值计算部414针对更换模块和更换部件,分别将寿命参数值和寿命监视参数值的关系做成曲线图。

在图34的步骤S74中,预测寿命值计算部414根据被做成曲线图的关系计算近似函数。作为近似函数的例子,可以存在对当前的寿命参数值的附近进行线性近似的方式、或根据实测出的计测值进行多项式近似的方式等。

在步骤S76中,预测寿命值计算部414根据近似函数,计算寿命监视参数值到达监视参数的阈值的寿命参数值即预测寿命值。

在步骤S76之后,预测寿命值计算部414返回图33的流程图。

<其他>

更换模块和更换部件的寿命的预测可以通过机器学习来进行。也可以使机器学习已知的数据,自动导出图案或规则,生成预测模型,由此计算预测寿命值。该情况下,改善了寿命预测精度。

9.3作用/效果

根据实施方式4,根据每个光刻单元的更换模块和更换部件的寿命预测信息计算预测寿命值,根据该预测寿命值进行维护调度的优化,因此,具有以下的作用效果。

(a)考虑更换模块和更换部件的寿命的个体差异,进一步改善了维护调度的优化的精度。

(b)在更换模块和更换部件的寿命比默认的寿命值短的情况下,减少非预定的定时的维护。

(c)在更换模块和更换部件的寿命比默认的寿命值长的情况下,与实施方式3的情况相比,减少维护成本。

10.实施方式5

10.1结构

图37是示出实施方式5的光刻单元管理服务器315的功能的框图。对与图31中说明的实施方式4的光刻单元管理服务器314的不同之处进行说明。

在实施方式5中,作为数据取得部320取得的信息,追加维护候选日的信息。图37所示的光刻单元管理服务器315包含消耗品选定部416和预先存储文件H的存储部418。

文件H是包含更换模块或更换部件的品名和维护时间的数据在内的文件,该更换模块或更换部件被选定为推荐在被指定的维护候选日实施更换的消耗品。文件H由消耗品选定部416生成。

10.2动作

10.2.1光刻单元管理服务器的动作

图37所示的光刻单元管理服务器315的数据取得部320能够经由网络210从半导体工厂管理系统208等接收光刻单元#k的维护候选日。数据取得部320将经由网络210接收到的维护候选日送到消耗品选定部416。

消耗品选定部416根据维护候选日以及文件C和文件D的数据,进行在维护候选日实施更换的消耗品(更换模块和更换部件)的选定的优化。该优化例如以维护成本最小的方式进行更换对象的消耗品的选定。

光刻单元管理服务器315将包含光刻单元#k的更换模块和更换部件的选定结果以及维护时间在内的数据保存在文件H中。

数据输出部390读入文件H的保存数据,经由网络210输出到半导体工厂管理系统208或未图示的显示终端。

10.2.2消耗品选定部的处理例

图38是示出消耗品选定部416中的处理内容的例子的流程图。

在步骤S82中,消耗品选定部416从文件D读入光刻单元#k的每单位时间的停工时间成本。

在步骤S84中,消耗品选定部416从文件C读入光刻单元#k的更换模块和更换部件的表数据。

在步骤S86中,消耗品选定部416提取维护候选日以后的标准维护日的表数据,按照从早到晚的顺序排列。

在步骤S90中,消耗品选定部416将变量s的值初始化为初始值即“1”。

在步骤S92中,消耗品选定部416将步骤S86中按照从早到晚的顺序重新排列的表数据的第1个~第1+s个更换模块或更换部件的维护日全部变更为维护候选日Dmop(k,1)。

在步骤S94中,消耗品选定部416将第1个~第1+s个中最长时间的维护时间设为维护时间Tmop(k,1)。

在步骤S96中,消耗品选定部416计算成本优势。

图39是示出成本优势计算处理的例子的流程图。图39的流程图被应用于图38的步骤S96。

在图39的步骤S111中,消耗品选定部416从文件F(2)读入光刻单元#k的工作预定信息的数据。

在步骤S112中,消耗品选定部416计算更换日的提前导致的增加成本Cexcup(k,1)。

增加成本Cexcup(k,1)根据下式计算。

Cexcup(k,1)=Σ{Cplife(k,l,m,q)·Parad(Dmds~Dmop)} (29)

式中的Σ表示q=1~q=1+s的总和。

在步骤S114中,消耗品选定部416计算停工时间减少导致的减少成本Cdtd(k,1)。

减少成本Cdtd(k,1)根据下式计算。

Cdtd(k,1)={ΣTmds(k,l,m,q)-Tmop(k,1)}·Cdt(k) (30)

在步骤S116中,维护调度计划部372根据步骤S112中求出的增加成本Cexcup(k,1)和步骤S114中求出的减少成本Cdtd(k,1),计算成本优势Cm(k,1)。

成本优势Cm(k,1)根据下式计算。

Cm(k,1)=Cdtd(k,1)-Cexcup(k,1) (31)

在步骤S116中求出成本优势Cm(k,1)后,结束图39的流程图,返回图38的主流程。

在图38的步骤S98中,消耗品选定部416判定是否存在成本优势。消耗品选定部416在步骤S96中求出的Cm(k,1)满足Cm(k,1)>0的情况下,进入步骤S100,增加变量s的值,返回步骤S92。

在步骤S98的判定处理中为Cm(k,1)≤0的情况下,消耗品选定部416进入步骤S101。

在步骤S101中,消耗品选定部416使第1个~第1+s个维护日和维护时间返回文件C的数据。

然后,在步骤S102中,消耗品选定部416减少变量s的值,进入步骤S103。

在步骤S103中,消耗品选定部416将第1个~第1+s个更换模块或更换部件的各维护日全部变更为维护候选日Dmop(k,1)。步骤S103的处理与步骤S92的处理相同。

在步骤S104中,消耗品选定部416将第1个~第1+s个中最长时间的维护时间设为维护时间Tmop(k,1)。步骤S104的处理与步骤S94的处理相同。

在步骤S105中,消耗品选定部416计算成本优势。步骤S105的处理与步骤S96的处理相同。

然后,在步骤S106中,消耗品选定部416在文件H中写入数据。在步骤S106之后,结束图38的流程图。

10.2.3文件H中包含的表数据的例子

图40是示出文件H中包含的表数据的例子的图表。在文件H中包含在被指定的维护候选日实施更换的更换模块或更换部件的品名组、更换日的提前导致的增加成本、维护时间、停工时间减少成本和成本优势的信息。“更换日”与维护日同义。

图41是示意地示出标准维护日和使维护候选日提前的最佳维护日的关系的说明图。图41反映图40所示的表数据的内容。

在图41中,示出q=1~4的更换模块或更换部件的更换日从标准维护日提前到维护候选日Dmop(k,1)。

10.3作用/效果

根据实施方式5,能够根据光刻单元#k的维护候选日进行更换模块和更换部件的选定的优化,因此,具有以下的作用效果。

(a)在由维护调度计划部372计划的维护日无法进行维护的情况下,能够根据可进行维护的候选日进行更换模块和更换部件的选定的优化。

(b)在光刻单元#k由于某种不良情况而存在停止的预定的情况下,在输入该停止日作为维护候选日后进行更换模块和更换部件的选定,由此能够降低成本。

11.实施方式6

11.1结构

图42是示出实施方式6的光刻单元管理服务器316的功能的框图。对与图7中说明的实施方式1的光刻单元管理服务器310的不同之处进行说明。

实施方式6的光刻单元管理服务器316针对图7的结构追加第1寿命参数复位部420。此外,实施方式6的光刻单元管理服务器316代替图7的维护调度计划部370而包含维护调度计划部373。将由维护调度计划部373生成的保存被优化的维护调度的信息的文件表记为文件E(2)。此外,将文件E(2)的复制文件表记为文件E(3)。文件E(2)中包含的表数据的例子使用图44在后面叙述。

光刻单元管理服务器316包含预先存储文件E(2)的存储部382和预先存储文件E(3)的存储部383。

11.2动作

11.2.1光刻单元管理服务器的动作

与图7的维护调度计划部370的处理同样,图42所示的维护调度计划部373进行维护调度的优化。将计算结果保存在文件E(2)中。数据输出部390将文件E(2)的数据发送到未图示的显示终端或半导体工厂管理系统208。

这样,在由维护调度计划部373生成被优化的维护调度计划后,判断是否执行该计划,能够从未图示的输入终端或半导体工厂管理系统208向光刻单元管理服务器316输入确定第1维护日的信号。

第1维护日是v=1的维护日,是指从当前的日期时间起最早到来的维护日。例如,提示了基于图20中说明的表数据的维护调度计划时的“第1维护日”是Dmop(k,1)。将确定第1维护日的信号称为“第1维护日确定信号”。

光刻单元管理服务器316受理第1维护日确定信号的输入。当经由数据取得部320被输入第1维护日确定信号后,第1寿命参数复位部420进行确定第1维护日的处理,并且进行对决定了在第1维护日进行更换的更换模块或更换部件的寿命参数进行复位的处理。

图43是示出第1寿命参数复位部420中的处理内容的例子的流程图。

在步骤S141中,第1寿命参数复位部420判定是否从未图示的输入终端或半导体工厂管理系统208输入了第1维护日确定信号。

第1寿命参数复位部420在未输入第1维护日确定信号的情况下,反复进行步骤S141,等待第1维护日确定信号的输入。

第1寿命参数复位部420在被输入第1维护日确定信号后,进入步骤S142。

在步骤S142中,第1寿命参数复位部420将生成了上次维护计划的文件E(2)的数据复制到文件E(3)。例如,该阶段的文件E(3)的表数据可以是图20所示的文件E的表数据。

在步骤S144中,第1寿命参数复位部420在文件E(3)的数据内确定第1维护日Dmopd(k,1)。例如,确定图20中的Dmop(k,1)作为第1维护日Dmopd(k,1)。

在步骤S146中,第1寿命参数复位部420在文件E(2)中写入所确定的第1维护日Dmopd(k,1)的数据。即,第1寿命参数复位部420确定文件E(2)的数据中的Dmop(k,1)作为第1维护日Dmopd(k,1),将决定了在第1维护日Dmopd(k,1)实施更换的更换模块或更换部件的数据写入文件E(2)中。在图44中示出由第1寿命参数复位部420实施了写入的文件E(2)的表数据的例子。

伴随着第1维护日的确定,针对在第1维护日实施更换的更换模块或更换部件的群组,分别确定更换日的提前导致的增加成本、维护时间、停工时间减少成本和成本优势。

在图44中,示出针对维护日从早到晚的顺序的前3个更换模块或更换部件确定第1维护日Dmopd(k,1)的例子。如图44所示,针对决定了维护日的部件组,伴随着第1维护日Dmopd(k,1)的确定,更换日的提前导致的增加成本、维护时间、停工时间减少成本和成本优势分别被确定为Cexcupd(k,1)、Tmopd(k,1)、Cdtdd(k,1)和Cmd(k,1)。

在图43的步骤S148中,第1寿命参数复位部420将文件B的数据中的决定了第1维护日的消耗品的寿命参数复位为0。

在文件B中,当决定了第1维护日的要更换的消耗品的寿命参数被复位为0后,与图6的处理同样,在标准维护日计算部340中,将决定了第1维护日的要更换的消耗品的寿命参数设为0,再次计算标准维护日,在文件C中保存数据。

在维护调度计划部373中,与图7的维护调度计划部370的处理同样,进行维护调度的优化,将计算结果保存在文件E(2)中。

数据输出部390将文件E(2)的数据发送到未图示的显示终端或半导体工厂管理系统208。

图45是反映了图44的表数据的维护调度计划的概念图。在图45中,关于ud=2和ud=3的各消耗品,维护日比标准维护日提前,维护日确定为与ud=1的消耗品的标准维护日相同的日子。伴随着该第1维护日的确定,再次计算此后的维护调度计划,新提示u=1~12的各消耗品的维护调度计划。

在图45的例子中,确定ud=1~3的维护日,计算下次的标准维护日,追加u=10~12。然后,关于u=10~12的各消耗品,维护日比标准维护日提前,维护日被推荐为与u=8的消耗品的标准维护日相同的日子。

11.3作用/效果

根据实施方式6,在确定了所生成的维护调度计划中的第1维护日后,能够重新设立此后的维护调度计划,因此,改善了维护调度生成的精度。

11.4其他

在实施方式6的说明中,示出确定第1维护日的情况的例子,但是不限于该例子,例如,也可以在确定第1维护日后,确定第2维护日,设立维护调度计划。此外,也可以接收确定第1维护日~第v维护日的各维护日的信号,逐次计算,设立维护调度计划。

12.维护调度计划的优化的例子

图46是示出根据成本最小化的观点生成维护调度计划的处理的例子的流程图。图46所示的流程图可以由维护调度计划部370、372、373中的任意一方实施。这里,对维护调度计划部370实施的例子进行说明。

在步骤S162中,维护调度计划部370从文件D读入光刻单元#k的每单位时间的停工时间成本。

在步骤S164中,维护调度计划部370从文件C读入光刻单元#k的更换模块和更换部件的表数据。

在步骤S168中,维护调度计划部370将变量v初始化为初始值即“1”。

在步骤S170中,作为第v维护日,维护调度计划部370将到第v-1为止提取出的消耗品以外的剩余消耗品中的标准维护日最早的日子设为临时维护日。在v=1的情况下,将光刻单元#k的全部消耗品中的标准维护日最早的日子假设为维护日(设为临时维护日)。然后,将消耗品中的标准维护日最早的日子假设为维护日,计算上述全部消耗品的组合各自的成本优势。

即,在步骤S172中,维护调度计划部370将上述全部剩余的消耗品的维护日设为临时维护日,计算维护全部组合的消耗品的情况下各自的成本优势。

关于全部剩余的消耗品,集中更换组合消耗品,由此,根据被削减的维护时间导致的停工时间减少成本与提前到临时维护日导致的增加成本之差,分别计算成本优势。例如,以与图19的流程图相同的步骤进行步骤S172中的成本优势的计算处理。

在图46的步骤S174中,维护调度计划部370根据步骤S172的计算结果,提取成本优势最大的消耗品的组合,将被提取出的消耗品的临时维护日全部设为所决定的最佳维护日Dmop(k,v)。这样,确定第v最佳维护日。

然后,在步骤S176中,维护调度计划部370增加v的值,进入步骤S178。

在步骤S178中,维护调度计划部370判定是否存在未决定维护日的消耗品。

在步骤S178为肯定判定的情况下、即存在未决定维护日的消耗品的情况下,返回步骤S170,维护调度计划部370反复进行步骤S170~步骤S178的处理。

在步骤S178为否定判定的情况下、即针对全部消耗品决定了维护日的情况下,进入步骤S180。

在步骤S180中,维护调度计划部370将包含步骤S174中决定的各消耗品的最佳维护日的维护调度计划的数据写入文件E中。

在步骤S180之后,结束图46的流程图。

13.监视参数的具体例

图47是示出消耗品的寿命预测中使用的监视参数的例子的图表。例如,在C/D装置12的基板旋转模块的情况下,也可以监视基板的旋转次数,求出马达的旋转次数超过监视参数的阈值的寿命参数值。

在C/D装置12的排水回收模块的情况下,也可以监视抗蚀剂的使用量,求出抗蚀剂的使用量超过监视参数的阈值的寿命参数值。

在C/D装置12和曝光装置14的晶片输送线的更换部件的情况下,也可以监视晶片的输送次数。关于晶片的输送次数,也可以监视向被配置于C/D装置12和曝光装置14内的各部分别输送的次数,求出输送次数超过监视参数的阈值的寿命参数值。

在曝光装置14的投影光学系统的更换部件的情况下,也可以根据第1光传感器71和第2光传感器82的检测值监视投影光学系统78的透射率,求出投影光学系统78的透射率超过监视参数的阈值的寿命参数值。

此外,在曝光装置14的照明光学系统的更换部件的情况下,也可以根据入射到曝光装置14的脉冲激光的脉冲能量和第1光传感器71的检测值,监视照明光学系统66中包含的一部分更换部件例如复眼透镜67等的透射率,求出透射率超过监视参数的阈值的寿命参数值。

在激光装置16的LNM的情况下,也可以监视谱线宽度或波长稳定性,求出谱线宽度或波长稳定性超过监视参数的阈值的寿命参数值。

在激光装置16的激光腔的情况下,也可以监视激光腔的气压、充电电压或能量稳定性,求出充电电压Vhv或能量稳定性超过监视参数的阈值的寿命参数值。

在激光装置16的前镜的情况下,也可以监视射束轮廓,将射束轮廓相对于面积的劣化面积比设为监视参数,求出超过其阈值的寿命参数值。

在激光装置16的监视器模块的情况下,也可以将光传感器的感光度劣化设为监视参数,求出超过其阈值的寿命参数值。

14.在相同装置中在同日更换多个消耗品时的停工时间削减的例子

14.1流程图的例子

图48是示出维护调度计划部中的处理内容的例子的流程图。图48所示的流程图被应用于图18和图26的步骤S34的处理。这里,对维护调度计划部370中的处理的例子进行说明。

在图48的步骤S192中,维护调度计划部370参照图12所示的文件B中的表数据(1)的第u个~第u+s个数据,将各消耗品各自的标准维护时间保存在文件I中。另外,光刻单元管理服务器310包含存储文件I的未图示的存储部。

在图48的步骤S194中,维护调度计划部370参照图13所示的文件B的表数据(2),在同时维护相同装置的消耗品时的消耗品的组合与文件B的表数据(2)中的任意数据一致的情况下,将表数据(2)的维护时间写入文件I中。

在步骤S196中,将文件I的数据中最长时间的维护时间设为Tmop(k,v)。

在步骤S196之后,返回图18或图26的流程图。

14.2作用/效果

根据图48所示的流程图,能够根据通过同时维护相同装置的消耗品时的消耗品的组合能够缩短维护时间的数据,计算成本优势。其结果,改善了维护调度的计划的精度。

14.3其他

在图46的步骤S172中的成本优势的计算中,也可以实施图48所示的流程图的计算处理。

15.光刻系统的另一个形式

15.1结构

图49是示出光刻系统的其他形式例的框图。对与图6的不同之处进行说明。图49所示的光刻系统302包含1台C/D装置12、2台曝光装置14A、14B、2台激光装置16A、16B,作为光刻单元10的结构要素。

在图49中,将光刻单元#k中的2台曝光装置表记为曝光装置#k-1、曝光装置#k-2。此外,将光刻单元#k中的2台激光装置表记为激光装置#k-1、激光装置#k-2。

15.2动作

图49中的光刻单元管理服务器310以光刻单元单位进行与各装置有关的信息收集或维护调度的优化。

15.3作用/效果

如图49所示,即使构成光刻单元10的装置的结构要素变更,也能够以光刻单元单位进行维护调度的优化。

15.4其他

在图6中,说明了光刻单元10由1台C/D装置、1台曝光装置和1台激光装置构成的形式的例子,在图49中,说明了光刻单元10由1台C/D装置、2台曝光装置和2台激光装置构成的形式的例子,但是不限于这些例子。例如,作为1个光刻单元10的单位的结构要素,只要是分别包含至少1台以上的C/D装置12、曝光装置14和激光装置16的结构即可。

16.实施方式7

16.1以线单位对维护调度计划进行优化的形式的概要

至此说明了以光刻单元单位对信息收集和维护调度计划进行优化的例子,但是,也可以以“线”的单位对维护调度计划进行优化。“线”意味着生产线。在大规模的半导体工厂中,有时并列配置有多个线。各生产线由1个以上的光刻单元构成。

图50是示出半导体工厂中的光刻系统的另一个形式例的图。图50所示的光刻系统307包含多个线。这里,为了简化,图示2个线。线#1由光刻单元#1~#3这3个光刻单元构成。线#2由光刻单元#4和光刻单元#5这2个光刻单元构成。各线可以对不同种类的半导体用的晶片进行处理。例如,在线#1中,对第1半导体用的晶片进行处理,在线#2中,对第2半导体用的晶片进行处理。

在线#1中,通过多个光刻单元10并对晶片进行处理,因此,当线#1中的光刻单元10中的1个光刻单元的晶片的处理停止时,有时线#1的半导体的生产停止,或者晶片的处理枚数降低。该情况下,在相同时期维护线#1中的多个光刻单元10整体能够降低成本。

因此,在实施方式7中,光刻单元管理服务器317从半导体工厂管理系统208等接收线的信息,生成线单位的多个光刻单元10的消耗品的维护调度。

16.2结构

图51是示出实施方式7的光刻单元管理服务器317的功能的框图。对与图7的不同之处进行说明。

图51所示的光刻单元管理服务器317代替文件D而使用追加了线的信息的文件D(2)。光刻单元管理服务器317代替文件D的存储部360而具有预先存储文件D(2)的存储部362。光刻单元管理服务器317代替标准维护计算部340而具有使用文件D(2)的数据计算标准维护日的标准维护日计算部343。标准维护日计算部343结合线#α中的多个光刻单元的更换模块或更换部件(消耗品)来计算标准维护日。另外,“线#α”的表记中的“α”是线编号。α能够取1~β的整数。β是线的总数。

标准维护日计算部343代替文件C而生成包含线#α中的多个光刻单元的数据在内的文件C(2)。光刻单元管理服务器317代替文件C的存储部350而具有预先存储文件C(2)的存储部352。

光刻单元管理服务器317代替维护调度计划部370而具有维护调度计划部374。维护调度计划部374使用文件C(2)的数据和文件D(2)的数据,结合线#α的多个光刻单元的消耗品来计划维护调度。

维护调度计划部374代替文件E而生成包含线#α的多个光刻单元的数据在内的文件E(4)。光刻单元管理服务器317代替文件E的存储部380而具有预先存储文件E(4)的存储部384。

16.3动作

光刻单元管理服务器317的数据取得部320从半导体工厂管理系统208取得线的信息,在文件D(2)中追加写入线的信息。

在图52中示出文件D(2)的表数据的例子。文件D(2)与图17所示的文件D的表数据相比,追加了作为线的信息的线编号,包含位于各线中的多个光刻单元的每单位时间的停工时间导致的损失成本的信息。

图51所示的标准维护日计算部343结合每个线#α的多个光刻单元10的消耗品来计算各自的标准维护日,将计算结果保存在文件C(2)中。

图53是示出标准维护日计算部343中的处理内容的例子的流程图。

在步骤S201中,标准维护日计算部343从文件D(2)读入线的信息。

在步骤S202中,标准维护日计算部343从文件A读入属于线#α的多个光刻单元的过去的工作信息的数据。

在步骤S204中,标准维护日计算部343针对属于线#α的多个光刻单元的消耗品,从文件B读入维护信息。

在步骤S206中,标准维护日计算部343根据从文件A和文件B读入的数据,计算属于线#α的多个光刻单元的消耗品的标准维护日。

在步骤S208中,标准维护日计算部343将步骤S206中求出的线#α的各消耗品的标准维护日写入文件C(2)中。在步骤S208之后,结束图53的流程图。

与图5的维护调度计划部370的处理同样,维护调度计划部374对线#α的多个光刻单元的消耗品一并进行维护调度的优化,将其计算结果保存在文件E(4)中。维护调度计划部374能够以线的单位评价成本优势,对各消耗品的维护调度进行优化。

数据输出部390将文件E(4)的数据发送到未图示的显示终端或半导体工厂管理系统208。

16.4作用/效果

根据实施方式7,能够以线的单位计划与一连串晶片处理相关联的相同线内的多个光刻单元中包含的全部消耗品的维护调度,因此,减少停工时间,降低成本。

16.5变形例

在实施方式1~实施方式6的各实施方式中,按照每个光刻单元进行的处理能够扩展为按照每个线进行的处理。例如,在实施方式7中,在计算标准维护日时,也可以与实施方式2同样,在文件A的数据的基础上,利用文件F的数据计算标准维护日。此外,在实施方式7中,也可以与实施方式3同样,追加配方信息。

17.实施方式8

17.1结构

图54是示出实施方式8的光刻单元管理服务器的功能的框图。对与图7的不同之处进行说明。图54所示的光刻单元管理服务器318被追加如下功能:使用与负责维护作业的服务工程师有关的信息计算标准维护时间。将与服务工程师有关的信息称为“服务工程师信息”。将保存服务工程师信息的文件称为“文件J”。

光刻单元管理服务器318具有进行文件J的生成、存储、信息的读出和写入的功能。光刻单元管理服务器318包含预先存储文件J的存储部440、以及根据文件J的服务工程师信息计算标准维护时间的标准维护时间计算部442。

17.2动作

光刻单元管理服务器318的数据取得部320从C/D装置用管理系统202、曝光装置用管理系统204和激光装置用管理系统206分别取得各装置的服务工程师信息,将其写入文件J中。

在图55中示出文件J的表数据的例子。按照C/D装置、曝光装置和激光装置的每个装置登记多个服务工程师。在图55中,为了识别各个服务工程师,使用识别用的标号#1、#2…#12。针对C/D装置登记服务工程师#1~#4。针对曝光装置登记服务工程师#5~#8,针对激光装置登记服务工程师#9~#12。这里,示出按照每个装置登记4名服务工程师的例子,但是,服务工程师的人数没有特别限定。

对各个服务工程师#1~#12确定了维护时间系数γ和可维护地域的范围L。将服务工程师#k的维护时间系数表记为γ(#k),将可维护地域的范围表记为L(#k)。维护时间系数γ是利用比率表示相对于平均的维护时间花费多少维护时间的系数。“平均的维护时间”是指具有标准技能的服务工程师实施维护作业的情况下需要的平均的维护时间。各服务工程师实施维护的情况下需要的维护时间由标准的维护时间与每个服务工程师的维护时间系数之积表示。例如,γ=1的情况表示该服务工程师的维护时间是平均的维护时间。维护时间系数γ是表示各个服务工程师的技能的值。γ越小,则表示技能越高,γ越大,则表示技能越低。

关于可维护地域的范围L,保存表示各个服务工程师能够维护应对的地域的范围的信息。在可维护地域的范围L与设置有半导体工厂的地域一致的情况下,该服务工程师能够进行维护。

在图54所示的标准维护时间计算部442中,根据文件J的表数据和半导体工厂的设置地域,选定维护各装置的服务工程师。服务工程师的服务区域根据地域而不同,因此,在建设了半导体工厂的地域中能够进行消耗品的维护的服务工程师被限定,能够选择实际负责作业的服务工程师。

然后,标准维护时间计算部442根据文件B的表数据中的标准维护时间与文件J的维护时间系数γ之积,计算被选定的服务工程师的标准维护时间。另外,可以给出“平均的维护时间”,作为图12中例示的文件B的“标准维护时间Tmhs(k,l,m)”的初始值。

标准维护时间计算部442将计算出的值作为标准维护时间写入文件B中。

此后的处理与图6中说明的实施方式1的处理相同。

图56是示出标准维护时间计算部442中的处理内容的例子的流程图。

在步骤S222中,标准维护时间计算部442从文件J读入服务工程师信息的数据。

在步骤S224中,标准维护时间计算部442从文件B读入光刻单元#k的消耗品的标准维护时间。

在步骤S226中,标准维护时间计算部442根据半导体工厂的地域,从文件J的数据中选定光刻单元内的各装置各自的服务工程师。

在步骤S228中,标准维护时间计算部442计算文件J的服务工程师的维护时间系数γ与文件B的标准维护时间之积的值。即,标准维护时间计算部442根据表示服务工程师的技能的参数即维护时间系数γ与文件B的标准维护时间之积,计算被选定的服务工程师进行维护的情况下的标准维护时间。

在步骤S230中,标准维护时间计算部442将步骤S228中计算出的值作为标准维护时间写入文件B中。

此后的处理与实施方式1中的处理相同。

17.3作用/效果

根据实施方式8,考虑表示服务工程师的技能的参数来计算标准维护时间,因此,能够以更高的精度对维护调度计划进行优化。

17.4变形例

作为文件J中保存的信息,例如,可以将服务工程师的维护时期的范围保存在文件J中。该情况下,可以选定标准维护日进入维护时期的范围的服务工程师来进行计算。

18.实施方式的组合

实施方式1~实施方式8的各实施方式中说明的结构能够适当组合。

19.记录了程序的计算机可读介质

作为上述各实施方式中说明的光刻单元管理服务器,能够将包含用于使计算机发挥功能的命令的程序记录在光盘、磁盘或其他的计算机可读介质(作为有体物的非易失性的信息存储介质)中,通过该信息存储介质提供程序。将该程序嵌入计算机中,处理器执行程序的命令,由此,能够使计算机实现光刻单元管理服务器的功能。

上述说明不是限制,而是简单的例示。因此,本领域技术人员明白能够在不脱离附加的权利要求书的情况下对本公开的实施方式施加变更。

本说明书和附加的权利要求书整体所使用的用语应该解释为“非限定性”用语。例如,“包含”或“所包含”这样的用语应该解释为“不限于记载为所包含的部分”。“具有”这样的用语应该解释为“不限于记载为所具有的部分”。此外,本说明书和附加的权利要求书所记载的不定冠词“一个”应该解释为意味着“至少一个”或“一个或一个以上”。此外,“A、B和C中的至少一方”这样的用语应该解释为“A”“B”“C”“A+B”“A+C”“B+C”或“A+B+C”。进而,应该解释为还包含它们和“A”“B”“C”以外的部分的组合。

去获取专利,查看全文>

相似文献

  • 专利
  • 中文文献
  • 外文文献
获取专利

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号