首页> 中国专利> 栅极轮廓的进阶工艺控制方法与制造集成电路元件的系统

栅极轮廓的进阶工艺控制方法与制造集成电路元件的系统

摘要

本发明是有关于一种栅极轮廓的进阶工艺控制方法与制造集成电路元件的系统,该进阶工艺控制方法,用以制造一半导体元件,以改善其效能。此方法可包含提供一基材;进行多个工艺,以在前述基材上形成一栅极堆,其中前述栅极堆包括一栅极层;在前述工艺的至少之一后,测量前述栅极层的一晶粒尺寸测量值;判断前述晶粒尺寸测量值是否在一目标范围内;以及倘若前述晶粒尺寸测量值不在上述目标范围内,修改前述工艺的至少之一的一工艺参数。

著录项

  • 公开/公告号CN101834114A

    专利类型发明专利

  • 公开/公告日2010-09-15

    原文格式PDF

  • 申请/专利权人 台湾积体电路制造股份有限公司;

    申请/专利号CN200910209608.4

  • 发明设计人 吴志仁;黄振铭;杜安群;

    申请日2009-10-30

  • 分类号H01L21/00;H01L21/28;H01L21/66;

  • 代理机构北京中原华和知识产权代理有限责任公司;

  • 代理人寿宁

  • 地址 中国台湾新竹市新竹科学工业园区力行六路八号

  • 入库时间 2023-12-18 00:52:30

法律信息

  • 法律状态公告日

    法律状态信息

    法律状态

  • 2011-11-23

    授权

    授权

  • 2010-12-08

    实质审查的生效 IPC(主分类):H01L21/00 申请日:20091030

    实质审查的生效

  • 2010-09-15

    公开

    公开

说明书

技术领域

本发明涉及一种制造集成电路元件的方法,特别是涉及一种制造集成电路元件的工艺(即制程,本文均称为工艺)控制方法系统。

背景技术

半导体集成电路(integrated circuit;IC)工业经历快速成长。在IC演化的过程中,几何尺寸(即利用工艺可以产生的最小零件或线路)逐渐减少,而功能性密度(即每个晶片区的内连线元件的数目)则逐渐增加。一般而言,规格缩小(scaling down)的过程可以带来的好处有增加生产效率,以及降低相关成本。已经观察到上述规格缩小过程中,将工艺变异量(process variations)维持在可接受的范围,会面临以下的挑战。举例而言,当工艺几何从65纳米(nanometer;nm)持续减少至4 5纳米以下时,栅极堆的轮廓对IC元件效能会变成相当关键。工艺变异量使制造出的IC元件具有不同变化的栅极轮廓,而可能偏离目标栅极轮廓。完整的栅极堆呈现预设目标栅极轮廓,但现有习知的IC元件工艺缺乏管理及/或控制栅极堆形成的方法。

由此可见,上述现有的系统制造IC元件的方法在制造方法及使用上,显然仍存在有不便与缺陷,而亟待加以进一步改进。为了解决上述存在的问题,相关厂商莫不费尽心思来谋求解决之道,但长久以来一直未见适用的设计被发展完成,而一般制造方法又没有适切的方法能够解决上述问题,此显然是相关业者急欲解决的问题。因此如何能创设一种新的栅极轮廓的进阶工艺控制方法与制造集成电路元件的系统,实属当前重要研发课题之一,亦成为当前业界极需改进的目标。

发明内容

本发明的主要目的在于,提供一种新的进阶工艺控制方法,所要解决的技术问题是使其管理并控制一集成电路元件的一或多个栅极堆的轮廓形成,非常适于实用。

本发明的另一目的在于,提供一种新型的控制栅极轮廓控制方法,所要解决的技术问题是使其提供改良的元件效能及/或改良的元件一致性,从而更加适于实用。

本发明的再一目的在于,提供一种新的制造集成电路元件的系统,所要解决的技术问题是使其提供制造中的集成电路元件特性相关的前馈及回馈通讯,在工艺中可用于修改工艺,以确保制得的元件呈现目标轮廓,从而更加适于实用。

本发明的目的及解决其技术问题是采用以下技术方案来实现的。依据本发明提出的一种进阶工艺控制方法,用以制造一半导体元件,该进阶工艺控制方法至少包含:提供一基材;进行多个工艺,以在该基材上形成一栅极堆,其中该栅极堆包括一栅极层;在所述工艺的至少之一后,测量该栅极层的一晶粒尺寸测量值;判断该晶粒尺寸测量值是否在一目标范围内;以及倘若该测量晶粒尺寸测量值不在该目标范围内,修改所述工艺的至少之一的一工艺参数。

本发明的目的及解决其技术问题还可采用以下技术措施进一步实现。

前述的进阶工艺控制方法,其还至少包含:利用修改的该工艺参数值,对该基材进行所述工艺的至少之一。

前述的进阶工艺控制方法,其中进行所述工艺以在该基材上形成该栅极堆的步骤至少包含:进行一沉积工艺,以在该基材上形成该栅极层;对该栅极层进行一回火工艺;以及对该栅极层进行一蚀刻工艺,以形成该栅极堆。

前述的进阶工艺控制方法,其中测量该栅极层的该晶粒尺寸测量值的步骤至少包含下列步骤之一:在该沉积工艺之后,测量该栅极层的该晶粒尺寸测量值;将该晶粒尺寸测量值回馈予该沉积工艺;将该晶粒尺寸测量值前馈予该回火工艺;将该晶粒尺寸测量值前馈予该蚀刻工艺;以及上述步骤的任意组合。

前述的进阶工艺控制方法,其中测量该栅极层的该晶粒尺寸测量值的步骤至少包含下列步骤之一:在该回火工艺之后,测量该栅极层的该晶粒尺寸测量值;将该晶粒尺寸测量值回馈予该沉积工艺;将该晶粒尺寸测量值回馈予该回火工艺;将该晶粒尺寸测量值前馈予该蚀刻工艺;以及上述步骤的任意组合。

前述的进阶工艺控制方法,其中测量该栅极层的该晶粒尺寸测量值的步骤至少包含:在该蚀刻工艺之后,测量该栅极层的该晶粒尺寸测量值;以及将该晶粒尺寸测量值回馈予该沉积工艺、该回火工艺、该蚀刻工艺以及上述工艺的任意组合。

前述的进阶工艺控制方法,其中修改所述工艺的至少之一的该工艺参数的步骤至少包含:调整该回火工艺、该蚀刻工艺以及上述工艺的任意组合之一。

前述的进阶工艺控制方法,其中测量该栅极层的该晶粒尺寸测量值的步骤至少包含:进行一光学散射方法。

本发明的目的及解决其技术问题还采用以下技术方案来实现。依据本发明提出的一种控制栅极轮廓的方法,用于集成电路元件工艺中,该控制栅极轮廓的方法至少包含:进行一沉积工艺,以在一晶圆上形成一栅极层;在该沉积工艺之后,进行一第一晶粒尺寸测量工艺;根据一第一晶粒尺寸测量值,对该栅极层进行一回火工艺;在该回火工艺之后,进行一第二晶粒尺寸测量工艺;以及根据一第二晶粒尺寸测量值,对该栅极层进行一蚀刻工艺。

本发明的目的及解决其技术问题还可采用以下技术措施进一步实现。

前述的控制栅极轮廓的方法,其中根据测量的该第一晶粒尺寸对该栅极层进行该回火工艺的步骤至少包含:判断该第一晶粒尺寸测量值是否在一正常范围内;以及倘若该第一晶粒尺寸测量值不在该正常范围内,调整该回火工艺的一工艺参数。

前述的控制栅极轮廓的方法,其中根据测量的该第二晶粒尺寸、对该栅极层进行该蚀刻工艺的步骤至少包含:判断该第二晶粒尺寸测量值是否在一正常范围内;以及倘若该第二晶粒尺寸测量值不在该正常范围内,调整该回火工艺的一工艺参数。

前述的控制栅极轮廓的方法,其还至少包含:根据该第一晶粒尺寸测量值,调整该沉积工艺的一工艺参数。

前述的控制栅极轮廓的方法,其中根据该第一晶粒尺寸测量值调整该沉积工艺的该工艺参数的步骤至少包含:判断该第一晶粒尺寸测量值是否在一正常范围内;倘若该第一晶粒尺寸测量值不在该正常范围内,修改该沉积工艺的该工艺参数;以及利用修改的该工艺参数进行该沉积工艺,以在另一晶圆上形成一栅极层。

本发明的目的及解决其技术问题另外再采用以下技术方案来实现。依据本发明提出的一种制造集成电路元件的系统,至少包含:一工艺工具,该工艺工具用于进行多个工艺的至少之一,以形成具有一栅极轮廓的一或多个栅极堆;一控制器,该控制器与该工艺工具通讯,其中该控制器藉由下列步骤,控制该一或多个栅极堆的该栅极轮廓:监控该一或多个栅极堆的一层的一测量晶粒尺寸,以在所述工艺的至少之一之后,获得该测量晶粒尺寸;判断该测量晶粒尺寸是否在一目标范围内;以及倘若该测量晶粒尺寸不在该目标范围内,修改所述工艺的至少之一的一工艺参数;其中所述工艺包括一沉积工艺、一回火工艺、以及一蚀刻工艺。

本发明与现有技术相比具有明显的优点和有益效果。借由上述技术方案,本发明栅极轮廓的进阶工艺控制方法与制造集成电路元件的系统至少具有下列优点及有益效果:

1、本发明的进阶工艺控制方法,其优点在于可有效管理并控制一集成电路组件的一或多个栅极堆的轮廓形成。

2、本发明的控制栅极轮廓控制方法,其优点在于可提供改良的组件效能及/或改良的组件一致性。

3、本发明的制造集成电路组件的系统,其优点在于可提供制造中的集成电路组件特性相关的前馈及回馈通讯,在工艺中可用于修改工艺,以确保制得的组件呈现目标轮廓。

上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,而可依照说明书的内容予以实施,并且为了让本发明的上述和其他目的、特征和优点能够更明显易懂,以下特举较佳实施例,并配合附图,详细说明如下。

附图说明

图1为绘示根据本发明一实施例的进阶工艺控制方法流程图。

图2A至图2C为绘示根据本发明一实施例的半导体元件的全部或一部在进阶工艺控制方法的不同工艺阶段的剖面图。

图3为绘示根据本发明一实施例的集成电路制造系统示意图。

图4为绘示根据本发明一实施例的APC系统示意图。

图5至图7绘示根据工艺控制方法、工艺控制方法以及工艺控制方法的各种流程图。

100:进阶工艺控制方法               502:提供一基材

102:提供一基材                     504:沉积一栅极层于基材上

104:沉积一栅极层                   506:测量沉积的栅极层的一晶粒尺寸

106:对此栅极层进行回火             508:晶粒尺寸是否落于正常范围之外?

108:蚀刻此栅极层以形成一栅极堆     510:修改一回火工艺

200:半导体元件                     512:继续后续工艺

210:基材                           600:工艺控制方法

212:栅极层                         602:提供一基材

220:栅极堆                         604:沉积一栅极层于基材上

300:APC系统                        606:测量沉积的栅极层的一晶粒尺寸

302:网络                           608:晶粒尺寸是否落于正常范围之外?

304:工艺工具                       610:修改一蚀刻工艺

306:量测工具                       612:继续后续工艺

308:数据库                         700:工艺控制方法

310:进阶工艺控制系统               702:提供一基材

400:APC系统                        704:沉积一栅极层于基材上

402:材料工艺流程                   706:对栅极层进行回火

402A:沉积工艺            708:测量沉积及回火的栅极层的一晶粒尺寸

402B:回火工艺            710:晶粒尺寸是否落于正常范围之外?

402C:蚀刻工艺            712:修改一蚀刻工艺

403:测量工艺             714:继续后续工艺

404:监督用APC控制器      406:沉积工艺APC控制器

408:回火工艺APC控制器    410:蚀刻工艺APC控制器

500:工艺控制方法

具体实施方式

为更进一步阐述本发明为达成预定发明目的所采取的技术手段及功效,以下结合附图及较佳实施例,对依据本发明提出的栅极轮廓的进阶工艺控制方法与制造集成电路元件的系统其具体实施方式、制造方法、步骤、结构、特征及其功效,详细说明如后。

有关本发明的前述及其他技术内容、特点及功效,在以下配合参考图式的较佳实施例的详细说明中将可清楚呈现。通过具体实施方式的说明,当可对本发明为达成预定目的所采取的技术手段及功效得一更加深入且具体的了解,然而所附图式仅是提供参考与说明之用,并非用来对本发明加以限制。

请参阅图1至图2C所示,以下说明一半导体元件200以及一进阶工艺控制方法100。图1绘示根据本发明一实施例的进阶工艺控制方法100流程图,用以制造半导体元件200。图2A至图2C根据本发明一实施例的半导体元件200的全部或一部在进阶工艺控制方法100的不同工艺阶段的剖面图。半导体元件200可为一集成电路或其一部分,半导体元件200可包含静态随机存储器(static random access memory;SRAM),记忆胞、及/或逻辑线路;被动构件,可例如电阻、电容、诱导器、及/或保险丝;主动构件,可例如P-通道场效晶体管(P-channel field effect transistors;PFETs)、N-通道场效晶体管(N-channel field effectt ransistos;NFETs)、金氧半导体场效晶体管(metal-oxide-semiconductor field effect transistors;NFETs)、互补金氧半导体(complementary metal-oxide-semiconductors;CMOSs)、双载子晶体管(bipolar transistors)、高压晶体管(hight ransistors)、及/或高频晶体管(high frequency transistors);其他适合的构件;及/或上述的任意组合。可以理解的是,有关进阶工艺控制方法100的其他实施例,可以在进阶工艺控制方法100之前、之中以及之后提供额外的步骤,同时可以取代或减少以下一些所述的步骤。更可以理解的是,有关半导体元件200的其他实施例,半导体元件200可加入额外的特征,同时可以取代或减少以下一些所述的特征。

请参阅图1以及图2A所示,进阶工艺控制方法100从步骤102开始,其中步骤102是提供一基材210。在此实施例中,基材210可以是含硅的半导体基材。基材210可包含元素半导体、化合物半导体、合金半导体、任何其他适合的材料、及/或上述的任意组合。上述的元素半导体可包括硅或锗的结晶(crystal)、复晶(polycrystalline)及/或非晶(amorphous)结构。上述的化合物半导体可包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、及/或鍗化铟。上述的合金半导体可包括硅锗(SiGe)、砷磷化镓(GaAsP)、铟砷化铝(AlInAs)、镓砷化铝(AlGaAs)、铟砷化镓(GaInAs)、铟磷化镓(GaInP)、及/或铟砷磷化镓(GaInAsP)。在一实施例中,合金半导体可具有一级状分布硅锗特征(gradient SiGe feature),其中硅与锗的组成的变化是由级状分布硅锗特征的一位置的一比例,变化到另一位置的另一比例。在另一实施例中,合金硅锗可形成于一硅基材上。在又一实施例中,硅锗基材可为应变的(strained)。再者,此基材可为一半导体、一绝缘层上硅(SOI)或一薄膜晶体管(thin film transistor;TFT)。在一些例示中,此基材可包括一掺杂磊晶层或一埋入层。在其他例示中,此化合物基材可包括一多层结构,或者硅基材可包括一多层化合物半导体结构。而在其他例示中,此基材210可包含一非半导体材料,例如玻璃。

视先前技术的设计需求,基材210可包括不同掺杂配置,例如p-型基材区或n-型基材区。在一些实施例中,基材210可包括掺杂区。此掺杂区可利用p-型掺质(例如硼或二氟化硼)及/或n-型掺质(例如磷或砷)。此掺杂区可以藉由P-井结构、N-井结构、双井式(dual-well)结构或架高式(raised)结构,直接形成于基材210上。半导体基材210更可包括不同的主动区,例如配置成N-型金氧半导体元件(N-type metal-oxide-semiconductor device;NMOS device)的区域,以及配置成P-型金氧半导体元件(PMOS device)的区域。

基材210更可包括一或多个隔离区,其中此隔离区可隔离基材210的不同区域(例如NMOS及PMOS元件区)。隔离区可利用隔离技术,例如LOCOS或STI,以电性隔离上述不同区域。隔离区可包含氧化硅、氮化硅、氮氧化硅、氟掺杂硅玻璃(fluoride-doped silicate glass)、低介电常数(low-k)介电材料、其他适合的材料、及/或上述的任意组合。隔离区可利用任何现有习知工艺形成。

请参阅图2B所示,在步骤104中,可形成一或多个栅极层于基材210上。在此实施例中,栅极层212硅藉由任何适合的工艺形成于基材210上。举例而言,栅极层212可利用现有习知的沉积工艺,例如化学气相沉积(chemical vapor deposition;CVD)法、物理气相沉积(physical vapor deposition;PVD)法、原子层沉积(atomic layer deposition;ALD)法、高密度等离子体(即电浆,本文均称为等离子体)化学气相沉积(high density plasma CVD;HDPCVD)法、金属有机化学气相沉积(metal organic CVD;MOCVD)法、远端等离子体化学气相沉积(remote plasma CVD;RPCVD)法、电将加强式化学气相沉积(plasma enhanced CVD;PECVD)法、磊晶成长法(例如选择性磊晶成长法)、溅镀(sputtering)、电镀(plating)、旋涂式涂布(spin-on coating)、其他适合的方法、及/或上述的任意组合。

栅极层212可包含一或多个高介电常数(high-k)介电层、虚设(dummy)栅极层、硬罩幕层、界面层、覆盖(capping)层、扩散/阻障层、介电层、导体层、其他适合的层、及/或上述的任意组合。举例而言,栅极层212可包含一层高介电常数介电材料,例如二氧化铪(HfO2)、氧化硅铪(HfSiO2)、氮氧硅铪(HfSiON)、氧化钽铪(HfTaO)、氧化钛铪(HfTiO)、氧化锆铪(HfZrO)、金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属氮氧化物、金属铝酸盐、锆硅酸盐、锆铝酸盐、氧化硅、氮化硅、氮氧化硅、氧化锆、氧化钛、氧化铝、二氧化铪-氧化铝(HfO2-Al2O3)合金、其他适合的高介电常数介电材料、及/或上述的任意组合。栅极层212可包含一层介电材料,例如包括复晶硅、氧化硅、氮化硅、氮氧化硅、碳化硅的含硅材料;含锗材料;氧化铪、氧化锆、氧化钛、氧化铝、二氧化铪-氧化铝合金、其他适合的介电材料、及/或上述的任意组合。在一些实施例中,栅极层212可包含一层二氧化硅以及一层高介电是数介电材料。另外,栅极层212可利用相同或不同掺质掺杂的复晶硅。栅极层212可包含导体层,此导体层包含铝、铜、钨、钛、钽、氮化钛、氮化钽、硅化镍、硅化钴、碳化钽(TaC)、氮化硅钽(TaSiN)、氰化钽(TaCN)、钛铝(TiAl)、氮化铝钛(TiAlN)、其他适合的材料、及/或上述的任意组合。栅极层212可包含一功函数(work function)层。举例而言,倘若PMOS元件需要P-型功函数金属(P-金属),可使用氮化钛(TiN)、氮化钨(WN)或钨(W)。另一方面,倘若NMOS元件需要N-型功函数金属(N-金属),可使用钛铝(TiAl)、氮化铝钛(TiAlN)或氰化钽(TaCN)。在一些实施例中,功函数层可包括掺杂的导电金属氧化物材料。可以理解的是,半导体元件200更可包括抗反射涂布层(例如顶抗反射涂布层及/或底抗反射涂布层)。

在步骤106中,栅极层212上进行回火工艺。此回火工艺可包含任何适合的工艺。在一些实施例中,回火工艺可包含一或多个快速热回火(rapid thermal annealing;RTA)工艺及/或激光回火工艺。可藉由改变参数,例如回火功率、回火温度、回火时间、工艺室压力、工艺室环境(例如改变周遭环境、真空环境等),而调整回火工艺。

请参阅图1及图2C所示,在步骤108中,形成包含栅极层212的栅极堆220。栅极堆220可藉由任何适合的制成形成,例如现有习知微影图案化工艺、蚀刻工艺、及/或上述的任意组合。微影图案化工艺可包括光阻涂布(例如旋涂式涂布)、软烘烤、对准罩幕、曝光、曝光后烘烤、光阻显影、润洗、干燥(例如硬烘烤)、其他适合的工艺、及/或上述的任意组合。亦可实施微影曝光工艺,或由其他适合的方法取代,例如无罩幕微影、电子束写入(electron-beam writing)、离子束写入、以及分子拓印(molecular imprint)。蚀刻工艺可包括干蚀刻、湿蚀刻、及/或其他的蚀刻方法(例如反应性离子蚀刻)。蚀刻工艺亦可为纯化学性(等离子体蚀刻)、纯物理性(离子研磨;ion milling)、及/或上述的任意组合。在一例示中,于基材210上沉积一栅极层。接着,利用适合的工艺,例如旋涂式涂布,在栅极层上形成一光阻层,并利用适合的微影图案化方法,对光阻层进行图案化,以形成一图案化光阻特征。之后,可利用干蚀刻工艺,将此光阻层的图案转移至下方层(即栅极层)上,以形成如第2A图所示的栅极堆220。随后,可移除光阻层。

可以理解的是,在基材210上可形成多个栅极堆。更可以理解的是,半导体元件200可进一步经历CMOS或MOS技术处理,以形成现有习知技术各种特征。举例而言,在栅极堆220的每一侧可形成栅极侧壁间隙壁。在一些实施例中,可利用任何适合的工艺,在基材210中形成轻掺杂区(指的是LDD区)。在另一例示中,在基材210中可形成源极与漏极区(指的是S/D区)。在又一例示中,各种接触/介层窗及多层内连接特征(例如金属层与内层介电质)可形成于基材210上,并可被配置成半导体元件200的各种特征或结构。

当技术节点不断缩小,特别是缩小至40纳米(nm)以下的节点技术时,栅极堆220的轮廓就变得更关键。请参阅图2C,栅极堆220可包含具有侧壁角θ的轮廓。栅极层(例如栅极层212)的晶粒尺寸可影响所得的栅极堆轮廓。晶粒尺寸是沉积及/或回火工艺条件的函数。因此,倘若某人可判断用来形成栅极堆的上述层(或多层)的晶粒尺寸,则可修改相同晶圆的后续工艺的工艺参数以及处理后续晶圆的工艺参数,以达到具有目标轮廓(或侧壁角)的栅极堆。举例而言,栅极层的晶粒尺寸的测量值较大,则会需要较快的蚀刻速率及/或较高温度的回火,以确保栅极堆包含目标轮廓。目前,现有习知的处理缺乏一种工艺及/或自动化(或进阶)工艺控制(automated or advanced process control;APC)系统,以监控影响栅极轮廓的条件。因此,此实施例提供一种进阶工艺控制系统,以用来监控形成栅极堆的各层组成,其中可藉由修改工艺条件以改变上述各层的组成,以获得目标轮廓。

请参阅图3所示,其绘示根据本发明一实施例的集成电路制造系统300。此系统包含多个实体(entities),且此些实体是藉由通讯网络302连接。此系统300可进行一半导体工艺,以制造多个基材(或晶圆)。基材包括一晶圆、一半导体、一罩幕(光罩幕(photomask)或光罩(reticle),通称为罩幕(mask))、或任何基础材料,用以对其处理以产生材料层、图案化特征、及/或集成电路。在此实施例中,可藉由系统300进行一栅极堆形成工艺,例如第1图及第2A图至第2C图所述的工艺。网络302可为单一网络或种种不同的网络,例如内部网络(intranet)以及网际网络(internet),而且网络302可包括有线及无线通讯管道。每个实体其他实体互相作用,并提供服务给其他实体及/或从其他实体接受服务。

上述实体可包括一或多个工艺工具304、量测工具306、数据库308、以及进阶工艺控制系统310。可以理解的是,系统300更可包含各种工艺工具(例如沉积工具、回火工具、蚀刻工具、电镀工具、热炉管等)、量测设备、以及控制器,可进行集成电路工艺的其他阶段,不过为了简要清楚,未在此绘示出。在此实施例中,工艺工具304可包含沉积工具、回火工具、以及蚀刻工具。沉积工具、回火工具、以及蚀刻工具可以是现有习知技术已知形式的元件。半导体晶圆可置于沉积工具中并进行沉积工艺、置于回火工具中并进行回火工艺、及/或置于蚀刻工具中并进行蚀刻工艺。沉积、回火、以及蚀刻工艺可包含现有习知技术已知及/或此处所述的任何工艺。在一些实施例中,在单一工艺工具304里,可进行沉积工艺、回火工艺、以及蚀刻工艺,以形成用来形成集成电路的各种特征。举例而言,工艺工具304可藉由进行一沉积、回火、以及蚀刻工艺,在原位(in situ)形成一栅极堆于基材上。在一些实施例中,系统300可包含针对每个工艺独立的工艺工具304,例如沉积工艺工具、回火工艺工具、以及蚀刻工艺工具。工艺工具304更可包括多个感测器,用来监控压力、气流、时间、温度、不纯程度、及/或其他参数。

量测工具306可包含本领域技术人员任何已知型式的量测工具。量测工具306可在集成电路制造时,测量其各种特性(及/或细节)。测量的数据可包括晶圆结果,例如利用量测工具306测得的晶圆参数,诸如片电阻(sheet resistance)、反射率、压力、粒子密度、以及关键尺寸。在此实施例中,量测工具306可于沉积、回火、及/或蚀刻工艺之后,测量一材料层(例如一栅极层)的晶粒尺寸。量测工具306亦可在沉积、回火、及/或蚀刻工艺之后,测量一材料层的厚度。量测工具306可针对制得产品的缺陷及特征进行分类。量测工具306可包括电子性、光学性、及/或分析性的工具,例如显微镜(例如扫描式电子显微镜及/或光学显微镜)、显微分析工具、线宽测量工具、罩幕及光罩缺陷工具、粒子分布工具、表面分析工具、压力分析工具、电阻率及接触电阻测量工具、移动率及载子浓度测量工具、接合深度测量工具、薄膜厚度工具、闸氧化层完整性测试工具、C-V测量工具、聚焦离子束(focused ion beam;FIB)、激光表面缺陷扫描器、残留气体分析仪、工艺工具微粒计数器、及/或各种其他量测工具。在某些实施例中,量测工具可包含例如现有习知技术所熟知的一显影后检查(after development inspection;ADI)量测工具及/或一蚀刻后检查(after etching inspection;AEI)量测工具。在一些实施例中,量测工具306可包括晶圆评估测试工具。

量测工具306可将测量的数据传送数据库308以进行储存。在一些实施例中,测量的数据可直接提供给APC系统310。在一些实施例中,数据库308可包括一工具数据库、一配置数据库、及/或一跨平台工具(cross-tool)分析数据库。配置数据库可储存配置资讯、工艺控制模型、以及工艺工具304的工艺控制策略。举例而言,可利用配置资讯调整例如气流、工艺式压力、及/或工艺时间的工艺参数。可通过网络302对于工艺工具304进行较适化(optimizations)以及其他调整。跨平台工具分析数据库可包括从多个工艺工具304取得的资讯。上述资讯可用于分析效能、产率、以及每个工艺工具利用其他工艺工具的其他数据。可以理解的是,本揭露内容的观点可利用APC系统310实施,以分析量测工具306、数据库或系统300的其他适合的设备的资讯。

系统300内的各种工艺是由APC系统310控制。APC系统310可包含传统、商业上可取得的电脑,或者任何其他适合的电脑硬件。APC系统310的硬件(即硬体,本文均称为硬件)可包括一处理器以及一存储器。存储器储存了由处理器执行的电脑程序,让电脑得以控制各种工艺工具304。可操作电脑进行包括处理资讯(包括利用一模型处理资讯)、接收资讯、储存资讯以及传送资讯的动作。在一实施例中,电脑可包括多个电脑。在一实施例中,电脑可包括在工艺工具(例如工艺工具304)内嵌的设备或原始码。电脑更可包括一或多个使用者介面。

在此实施例中,APC系统310可类似于图4所绘示的APC系统400。APC系统400能管理、进行、及/或控制一材料工艺流程402。材料工艺流402可包括制造一集成电路元件的任何工艺步骤。材料工艺流程402可利用三个工艺说明:一沉积工艺402A、一回火工艺402B以及一蚀刻工艺402C。沉积工艺402A、回火工艺402B以及蚀刻工艺402C可在一晶圆上制造一或多个栅极堆,例如图1以及图2A至图2C所述的工艺。举例而言,涉及于基材210上形成栅极层212的沉积工艺402A;涉及对栅极层212进行回火的回火工艺402B;以及涉及对栅极层212进行蚀刻以形成栅极堆220的蚀刻工艺。可在不同工艺工具304中或在单一工艺工具304中,进行上述的沉积工艺402A、回火工艺402B以及蚀刻工艺402C。在一实施例中,材料工艺流程402可以被用来进行现有习知技术熟知的单一金属镶嵌工艺、双重金属镶嵌工艺、或各种其他工艺方法。可以理解的是,多个晶圆很可能是以批次的方式集体处理。就此而言,本揭露内容所指一晶圆或一基材的单数形,并不必然限制此揭露内容就是单一晶圆,而是可包括多个晶圆的一批、多批、或上述任何材料的集合。更可以理解的是,在上述说明的工艺之前、之后、及/或之中,可包括现有习知技术熟知的额外工艺。

在材料工艺流程402的不同观点中,可以在制造的晶圆上进行一测量工艺403。藉由测量工艺403、量测工具306、APC系统310本身及/或上述的任意组合,可控制或进行测量工艺403。第4图是绘示在每个沉积工艺402A、回火工艺402B以及蚀刻工艺402C之后的测量工艺403。惟可以理解的是,并非要求在上述每个工艺之后都进行测量工艺403。测量工艺403所得的任何适当的测量值,例如厚度、晶粒尺寸、关键尺寸、其他适合的参数、及/或上述的任意组合等参数值。如同上述,栅极堆的晶粒尺寸最终影响所得的栅极轮廓。因此,在此实施例中,整个材料工艺流程402中,测量工艺403获得栅极层(例如栅极层212)的晶粒尺寸测量值(或晶粒尺寸指数)。可以利用任何适合的方法完成测量晶粒尺寸。举例而言,可利用光学散射方法以获得晶测尺寸测量值。在一些实施例中,可利用R.I.值及/或雾度监测(haze monitoring),测量晶粒尺寸或获得晶粒尺寸指数。

可以利用APC系统400进行、控制及/或管理材料工艺流程402。APC系统400可包含一或多个监督用APC控制器以及工艺APC控制器。举例而言,APC系统400可包含一监督用APC控制器404以及三个工艺APC控制器:沉积工艺APC控制器406、回火工艺APC控制器408、以及蚀刻工艺APC控制器410。在一些实施例中,单一工艺APC控制器可以进行沉积工艺APC控制器406、回火工艺APC控制器408、以及蚀刻工艺APC控制器410的功能。在一些实施例中,单一工艺APC控制器可以进行监督用APC控制器404以及沉积工艺APC控制器406、回火工艺APC控制器408、与蚀刻工艺APC控制器410的功能。监督用APC控制器404可与工艺工具304、量测工具306、数据库308、测量工艺403、沉积工艺APC控制器406、回火工艺APC控制器408、蚀刻工艺APC控制器410、及/或任何其他适合的系统、工具或控制器进行通讯。在一些实施例中,监督用APC控制器直接与沉积工艺402A、回火工艺402B以及蚀刻工艺402C通讯。沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410,可与不同的工艺工具304(及/或工艺室)、量测工具306、数据库308、沉积工艺402A、回火工艺402B、蚀刻工艺402C、测量工艺403、监督用APC控制器404、及/或任何其他适合的系统、工具或控制器进行通讯。

监督用APC控制器404、沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410可包含软件以进行控制,而且具有同时且动态处理多个任务的能力。监督用APC控制器404、沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410可包括电脑、网络及/或界面等硬件,而界面可用于连接系统300的实体、制造执行系统(manufacturing execution system;MES)、电脑整合制造系统(computer integrated manufacturing system;CIM)、自动化材料处理系统(automatic material handling system;AMHS)、虚拟晶圆厂、其他适合的系统、及/或上述的任意组合彼此间的通讯。稍后详述,再制造晶圆时,APC系统400,特别是监督用APC控制器404、沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410,可有助于控制所得的栅极轮廓。在制造单一晶圆时,APC系统400可确保所得的栅极轮廓呈现目标轮廓,及/或在制造多个晶圆(例如一批次的多个晶圆)时,APC系统400可确保多个晶圆呈现均一的栅极轮廓。

APC系统400交换与材料工艺流程402有关的资讯,有助于上述栅极轮廓的控制。上述资讯交换可包括回馈(feedback)数据以及前馈(feed-forward)数据。回馈数据包括由FB表示的路径上传输的数据,而前馈数据则包括藉由FF表示的路径上传输的数据。一项通讯可包回馈数据与前馈数据二者。前馈数据可用来设定晶圆特定工艺参数及/或晶圆后续处理的工艺目标。举例而言,前馈数据可包括与处理的第一晶圆有关的测量值数据,可用来判断第一晶圆的后续工艺参数及/或目标值。至于回馈数据,则可用来判断处理后续晶圆的工艺参数及/或工艺目标值。举例而言,回馈数据可包括与第一晶圆相关的测量值数据,可用来判断第二晶圆的工艺参数及/或目标值。

沉积工艺APC控制器406判断沉积工艺402A的不同沉积工艺参数,例如沉积时间、温度、气流速率、任何其他适合的参数、及/或上述的任意组合。每个晶圆判断的沉积工艺参数可定义出沉积工艺设定(recipe),可选用此沉积工艺设定,以提供具有目标晶粒尺寸的一沉积层。在一些实施例中,监督用APC控制器404可判断不同的沉积参数及/或沉积结果。在一些实施例中,监督用APC控制器404可将一预设沉积结果提供给沉积工艺APC控制器406,然后判断出一沉积工艺设定,以获得上述结果。沉积工艺APC控制器406将判断的沉积工艺参数传送给沉积工艺402A。

回火工艺APC控制器408判断回火工艺402B的不同的回火工艺参数,例如回火功率、回火时间、回火温度、冷却速率、任何其他适合的参数、及/或上述的任意组合。每个晶圆判断的回火工艺参数可定义出回火工艺设定,可选用此回火工艺设定以确保回火的栅极层呈现出目标晶粒尺寸。在一些实施例中,监督用APC控制器404可判断不同的回火参数及/或回火结果。在一些实施例中,监督用APC控制器404可将一预设回火结果提供给回火工艺APC控制器408,然后判断出一回火工艺设定,以获得上述结果。回火工艺APC控制器408将判断的回火工艺参数传送给回火工艺402B。

蚀刻工艺APC控制器410判断蚀刻工艺402C的不同的蚀刻工艺参数,例如蚀刻时间、气流速率、蚀刻选择性、气压、任何其他适合的参数、及/或上述的任意组合。每个晶圆判断的蚀刻工艺参数可定义出蚀刻工艺设定,可选用此蚀刻工艺设定以确保经蚀刻的层呈现出目标晶粒尺寸。在一些实施例中,可选用此蚀刻工艺设定以确保经蚀刻的层形成的栅极堆具有目标栅极轮廓。在一些实施例中,监督用APC控制器404可判断不同的蚀刻参数及/或蚀刻结果。在一些实施例中,监督用APC控制器404可将一预设蚀刻结果提供给蚀刻工艺APC控制器410,然后判断出一蚀刻工艺设定,以获得上述结果。蚀刻工艺APC控制器410将判断的蚀刻工艺参数传送给蚀刻工艺402C。

目标晶粒尺寸可以是一段范围的晶粒尺寸,其可被认为是正常范围(normal range)。举例而言,倘若一沉积层的一晶粒尺寸在正常范围内,此沉积层经回火及蚀刻之后,形成一栅极堆,所得的栅极堆呈现出目标栅极轮廓。在一些实施例中,目标晶粒尺寸是预设的晶粒尺寸。在一些实施例中,目标晶粒尺寸是根据接收的测量值选出的晶粒尺寸。目标栅极轮廓包括了目标特征尺寸,例如栅极高度、栅极宽度、侧壁角度、及/或栅极关键尺寸。目标栅极轮廓及/或目标晶粒尺寸(合称为目标参数值)可以利用任何适当的方法加以判断。举例而言,目标参数值可以藉由一使用者输入。在此实施例中,目标参数值(即目标栅极轮廓与目标晶粒尺寸)可利用监督用APC控制器404,传送至沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410。随后,沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410,可分别判断沉积、回火及/或蚀刻参数。经前述判断后的参数可定义出沉积、回火及/或蚀刻工艺设定,而此些设定主要用来产生目标参数值、特别是目标栅极轮廓及/或目标晶粒尺寸的所需。

藉由以模型为主的控制器(model-based controller),沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410,可分别判断沉积、回火及/或蚀刻参数。在一些实施例中,沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410,可利用以模型为主的批次与批次间(run-to-run)控制器。在一些实施例中,控制器可包括部件影响模型(part-effect model),其是考量晶圆的不同设计原则。设计原则可包括线路图案密度以及欲制造产片的效能的特殊要求。设计原则可藉由APC系统400相关的数据库加以储存。在一例示中,设计原则可藉由数据库308加以储存,其中数据库308可藉由与不同的工艺工具304、量测工具306、及/或APC系统310(例如APC系统400)通讯。在一些实施例中,控制器可包括工具影响模型(tool-effect model),其是考量特别针对此工具的工艺误差值(process deviations)。在又一实施例中,工具影响模型可包括一多个工艺室工具的一工艺室专用模型(chamber-specific model)。

沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410可利用从材料工艺流程402接收的前馈及/或回馈数据,而分别定期更新用来判断沉积、回火及/或蚀刻工艺参数的模型。举例而言,请参阅图4,沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410可接收前馈数据。前馈数据可包括来自于沉积后、回火后及/或蚀刻后测量工艺403的测量数据,可传送至监督用APC控制器404。来自于沉积后、回火后及/或蚀刻后测量工艺403的前馈数据,可包括晶粒尺寸测量值(例如沉积的栅极层、回火的栅极层及/或蚀刻的栅极层的晶粒测量值)。来自于蚀刻后测量工艺403的前馈数据可包括蚀刻后特征的测量值。举例而言,倘若蚀刻后的特征是栅极堆,前馈的测量数据可包括栅极堆的关键尺寸及/或侧壁角度测量值。监督用APC控制器404利用前馈的测量数据,判断晶圆专用工艺在后续工艺的目标。举例而言,监督用APC控制器404可利用来自于沉积后测量工艺403的晶粒尺寸测量值,判断回火工艺402B的目标晶粒尺寸,或可利用来自于回火后测量工艺403的晶粒尺寸测量值,判断蚀刻工艺402C的目标晶粒尺寸等。经监督用APC控制器404判断的目标晶粒尺寸,随后可前馈给回火工艺APC控制器408与蚀刻工艺APC控制器410,藉使回火工艺APC控制器408与蚀刻工艺APC控制器410可修改回火及/或蚀刻工艺设定,以获得预设的目标值。在一些实施例中,监督用APC控制器404可利用来自于回火后及蚀刻后测量工艺的晶粒尺寸测量值及/或栅极轮廓测量值,判断后续晶圆的沉积工艺402A的目标参数。在一些实施例中,监督用APC控制器404利用实验数据导出的模型,以判断工艺目标值。在一些实施例中,监督用APC控制器的功能在于控制受多个工艺步骤影响的至少一特定工艺参数,例如晶粒尺寸及/或栅极轮廓。

请再参阅图4,沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410所接收的回馈数据可包括从沉积、回火及蚀刻工艺分别接收的工艺数据,及/或在沉积后、回火后及/或蚀刻后测量工艺403取得的测量数据。工艺数据可包括与沉积、回火及/或蚀刻工艺工具相关的数据,例如沉积、回火及/或蚀刻工艺参数,以及所使用的工艺工具参数,包括对正在进行工艺的工具部分加以命名(例如对进行工艺的工艺室命名)。来自于沉积后、回火后及/或蚀刻后测量工艺403的回馈数据,可包括晶粒尺寸测量值(例如沉积的栅极层、回火的栅极层及/或蚀刻的栅极层的晶粒尺寸)。来自于沉积后测量工艺的回馈数据,可包括厚度测量值及/或厚度一致性(uniformity)的测量值。来自于蚀刻后测量工艺的回馈数据,可包括蚀刻后特征的测量值。举例而言,倘若蚀刻后的特征是栅极堆,回馈的测量数据可包括栅极堆的关键尺寸及/或侧壁角度测量值。

根据接收的回馈数据,沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410可判断是否应该修改后续晶圆的沉积、回火及/或蚀刻的工艺设定。在一些实施例中,沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410可判断出,实际工艺产出以及模型预设的工艺产出的间,二者回馈数据的差异。沉积工艺APC控制器406、回火工艺APC控制器408以及蚀刻工艺APC控制器410可利用上述差异,更新用于判断后续晶圆的沉积、回火及/或蚀刻的工艺设定的模型。在一实施例中,可利用指数加权移动平均值(exponentially weighted moving average;EWMA)来过滤离群(outlier)数据。预测产出与实际产出的差异,可能是由于例如工艺室老化、对工具进行预防性维护(preventive maintenance)、及/或现有习知技术熟知其他各种因素,而造成工艺偏移(shift)的结果。模型更新的频率可根据晶圆与晶圆间(wafer-by-wafer)、批货与批货间(lot-by-lot)、工艺的批次与批次间(run-to-run)、及/或其他由使用者判断的频率。

图5至图7绘示根据工艺控制方法500、工艺控制方法600以及工艺控制方法700的各种流程图。工艺控制方法500、工艺控制方法600以及工艺控制方法700提供进阶工艺控制方法,以控制栅极轮廓(即栅极结构的栅极堆轮廓)。可利用系统300进行工艺控制方法500、工艺控制方法600以及工艺控制方法700,以制造集成电路元件。尤其是可利用APC系统,例如APC系统400,进行工艺控制方法500、工艺控制方法600以及工艺控制方法700。工艺控制方法500、工艺控制方法600以及工艺控制方法700将以集成电路元件制造系统300的观点描述,其中系统300可包含APC系统310,此APC系统310类似于APC系统400,可管理材料工艺流程402。可以理解的是,图5至图7的流程图并非包含所有工艺步骤,而且工艺控制方法可包含任何此处或现有习知技术所述的工艺步骤的任意组合。故此,在工艺控制方法500、工艺控制方法600以及工艺控制方法700之前、之中、及/或之后,可提供额外的步骤,而在上述方法的其他实施例中,以下所述的步骤中,有一些步骤可被替代或减少。

具体而言,工艺控制方法500、工艺控制方法600以及工艺控制方法700提供控制沉积、回火及/或蚀刻工艺设定,以于晶圆(或基材)上形成一或多个具有目标轮廓的栅极堆。以下所述的工艺控制方法500、工艺控制方法600以及工艺控制方法700可监控并控制材料工艺流程402,而在此实施例中,材料工艺流程402类似于图1所述的进阶工艺控制方法100。为此,利用工艺控制方法500、工艺控制方法600以及工艺控制方法700制造的集成电路元件,类似于图2A至图2C所述的半导体元件200。

请参阅图5所示,可藉由判断沉积、回火及/或蚀刻工艺设定,而在晶圆上形成一或多个具有目标轮廓的栅极堆。在步骤502中,提供一基材,而在步骤504中,在此基材上沉积一栅极层。可藉由在一工艺工具304中进行沉积工艺402A,而沉积此栅极层。沉积工艺工具可与沉积工艺APC控制器406进行通讯。与沉积工艺APC控制器406可将判断过的沉积工艺设定,提供给沉积工艺工具,以在基材上形成此栅极层。

在步骤506中,藉由例如一沉积后测量工艺403,测量沉积的栅极层的晶粒尺寸。晶粒尺寸测量值可传递给监督用APC控制器404及/或工艺APC控制器,例如沉积工艺APC控制器406。在此实施例中,前馈通讯(feed-forword communication)可将晶粒尺寸测量值提供给监督用APC控制器404。在步骤508,可判断此晶粒尺寸测量值是否落于一正常范围外。监督用APC控制器404可进行此项判断。正常范围的晶粒尺寸可呈现出目标范围的晶粒尺寸,其中当具有目标晶粒尺寸的栅极层进行后续处理(即回火及蚀刻工艺以形成一栅极堆)时,所得的栅极堆可呈现出目标轮廓。

倘若晶粒尺寸测量值落于正常范围之外,在步骤510时可修改回火工艺(即修改已判断的回火工艺设定)。可利用监督用APC控制器404修改回火工艺,监督用APC控制器404通知回火工艺APC控制器408,需要修改已判断的回火工艺设定。在一些实施例中,监督用APC控制器404提供在正常范围内的预设晶粒尺寸,藉此使回火工艺APC控制器408可判断及/或选择修改后的回火工艺设定。可修改任何适合的回火工艺参数,以提供修改后的回火工艺设定,其中回火的栅极层可呈现预设的晶粒尺寸。举例而言,回火工艺APC控制器408可调整回火工艺设定中的回火温度参数,以确保栅极层的晶粒尺寸回到及/或维持在正常范围内。之后,在步骤512中继续处理基材,例如回火工艺402B以及蚀刻工艺402C。后续进行的工艺可包括将栅极层暴露于一回火工艺中,其中此回火工艺具有修改后的回火工艺设定,然后进行一蚀刻工艺,以形成一栅极堆。类似地,倘若晶粒尺寸测量值是落于正常范围内,则可在步骤512中继续处理基材。后续进行的工艺可包括将栅极层暴露于一回火工艺中,其中此回火工艺具有已判断(正常)的回火工艺设定,然后进行一蚀刻工艺,以形成一栅极堆。

请参阅图6所示,可以判断沉积、回火及/或蚀刻工艺设定,以在基材上形成一或多个具有目标轮廓的栅极堆。在步骤602中,提供一基材,而在步骤604中,在此基材上沉积一栅极层。此类似于图5所述的步骤502与步骤504。在步骤606中,藉由例如一沉积后测量工艺403,测量沉积的栅极层的晶粒尺寸。晶粒尺寸测量值可传递给监督用APC控制器404及/或工艺APC控制器,例如沉积工艺APC控制器406。在此实施例中,前馈通讯可将晶粒尺寸测量值提供给监督用APC控制器404。在步骤608,可判断此晶粒尺寸测量值是否落于一正常范围外。监督用APC控制器404可进行此项判断。正常范围的晶粒尺寸可呈现出目标范围的晶粒尺寸,其中当具有目标晶粒尺寸的栅极层进行后续处理(即回火及蚀刻工艺以形成一栅极堆)时,所得的栅极堆可呈现出目标轮廓。

倘若晶粒尺寸测量值落于正常范围之外,在步骤610时可修改蚀刻工艺(即修改已判断的蚀刻工艺设定)。可利用监督用APC控制器404修改蚀刻工艺,监督用APC控制器404通知蚀刻工艺APC控制器410,需要修改已判断的蚀刻工艺设定。在一些实施例中,监督用APC控制器404提供在正常范围内的预设晶粒尺寸,藉此使蚀刻工艺APC控制器410可判断及/或选择修改后的蚀刻工艺设定。可修改任何适合的蚀刻工艺参数,以提供修改后的蚀刻工艺设定,其中蚀刻的栅极层可呈现预设的晶粒尺寸。举例而言,蚀刻工艺APC控制器410可调整时刻工艺设定中的蚀刻工艺时间及/或气流速率参数,以确保栅极层的晶粒尺寸回到及/或维持在正常(或目标)范围内,及/或所得的栅极轮廓呈现出目标轮廓。之后,在步骤612中继续处理基材。后续进行的工艺可包括将栅极层暴露于一回火工艺中,其中此回火工艺具有已判断的回火工艺设定,然后进行一蚀刻工艺,其中此蚀刻工艺具有修改后的蚀刻工艺设定,以形成一栅极堆。类似地,倘若晶粒尺寸测量值是落于正常范围内,则可在步骤612中继续处理基材。后续进行的工艺可包括将此栅极层暴露于一回火工艺中,其中此回火工艺具有已判断的回火工艺设定,然后进行一蚀刻工艺,其中此蚀刻工艺具有已判断的蚀刻工艺设定,以形成一栅极堆。

请参阅图7所示,可以判断沉积、回火及/或蚀刻工艺设定,以在基材上形成一或多个具有目标轮廓的栅极堆。在步骤702中,提供一基材,而在步骤704中,在此基材上沉积一栅极层。然后,在步骤706中,对前述栅极层进行一回火工艺。可藉由在一工艺工具304中进行沉积工艺402A,而进行栅极层沉积工艺,且可藉由于工艺工具304中进行回火工艺402B,而进行栅极层回火工艺。沉积工艺工具可与沉积工艺APC控制器406进行通讯,而回火工艺工具可与回火工艺APC控制器408进行通讯。沉积工艺APC控制器406与回火工艺APC控制器408,可将判断过的沉积工艺设定与判断过的回火工艺设定,分别提供给沉积工艺工具与回火工艺工具。

在步骤708中,藉由例如一回火后测量工艺403,测量沉积且回火的栅极层的晶粒尺寸。晶粒尺寸测量值可传递给监督用APC控制器404及/或工艺APC控制器,例如沉积工艺APC控制器406与回火工艺APC控制器408。在此实施例中,前馈通讯可将晶粒尺寸测量值提供给监督用APC控制器404。在步骤710,可判断此晶粒尺寸测量值是否落于一正常范围外。监督用APC控制器404可进行此项判断。正常范围的晶粒尺寸可呈现出目标范围的晶粒尺寸,其中当具有目标晶粒尺寸的栅极层进行后续处理(即蚀刻工艺以形成一栅极堆)时,所得的栅极堆可呈现出目标轮廓。

倘若晶粒尺寸测量值落于正常范围之外,在步骤712时可修改蚀刻工艺(即修改已判断的蚀刻工艺设定)。可利用监督用APC控制器404修改蚀刻工艺,监督用APC控制器404通知蚀刻工艺APC控制器410,需要修改已判断的蚀刻工艺设定。在一些实施例中,监督用APC控制器404提供在正常范围内的预设晶粒尺寸,藉此使蚀刻工艺APC控制器410可判断及/或选择修改后的蚀刻工艺设定。在一些实施例中,监督用APC控制器404配合回火后的晶粒尺寸提供一目标栅极轮廓,同时蚀刻工艺APC控制器410可判断及/或选择修改后的蚀刻工艺设定。可修改任何适合的蚀刻工艺参数(例如蚀刻时间、气流速率等),以提供修改后的蚀刻工艺设定,其中蚀刻的栅极层可呈现预设的晶粒尺寸及/或目标栅极轮廓。之后,将修改后的蚀刻工艺设定传递给蚀刻工艺402C,并在步骤714中继续处理基材。后续的处理可包括将沉积且回火的栅极层暴露于一蚀刻工艺,其中此蚀刻工艺具有修改后的蚀刻工艺设定,以形成一栅极堆。类似地,倘若晶粒尺寸测量值是落于正常范围内,则可在步骤714中继续处理基材。后续的处理可包括将此栅极层暴露于一蚀刻工艺,其中此蚀刻工艺具有已判断的蚀刻工艺设定,以形成一栅极堆。

在一些实施例中,回馈通讯(feed-back communication)可将晶粒尺寸测量值提供给沉积工艺APC控制器406。沉积工艺APC控制器406可利用此晶粒尺寸测量值,判断在后续晶圆上沉积的材料层,其各种沉积参数是否应该修改。在一些实施例中,回馈通讯可将晶粒尺寸测量值提供给回火工艺APC控制器408。回火工艺APC控制器408可利用此晶粒尺寸测量值,判断在后续晶圆上回火的材料层,其各种回火参数是否应该修改。在一些实施例中,回馈通讯可将晶粒尺寸测量值提供给蚀刻工艺APC控制器410。蚀刻工艺APC控制器410可利用此晶粒尺寸测量值,判断在后续晶圆上蚀刻的材料层,其各种蚀刻参数是否应该修改。再者,在一些实施例中,可利用回馈通讯修改上述工艺的其中任一者。

总言之,可实施进阶工艺控制,以管理并控制一集成电路元件的一或多个栅极堆的轮廓形成。此处揭露的方法可轻易整合至现有习知集成电路元件处理中。与制造中的集成电路元件特性相关的前馈及回馈通讯,在工艺中可用于修改工艺,以确保制得的元件呈现目标特性。其次,揭露的实施例可提供改良的元件效能及/或改良的元件一致性。可以理解的是,不同的实施例具有不同的优点,而且所有实施例并不要求必须具备特定的优点。

再者,上述实施例可采取全部硬件实施例的形式、全部软件实施例的形式、或同时具有软硬件实施例的形式。又,本揭露内容的实施例可采取电脑程序产品的形式,此电脑程序产品可提供程序码,利用一有形且电脑可使用或电脑可读的介质而近取(accessible),藉由或经由与电脑(或任何指令执行系统)连接,提供使用。为了上述目的,上述有形且电脑可使用或电脑可读的介质,可以是任何含有、储存、通讯、传播、或输送此程序的设备,藉由或经由与指令执行系统、设备或元件连接,提供使用。上述介质可为电子的、磁性的、光学的、电磁的、远红外线的、半导体系统(或设备或元件),或传播介质(propagation medium)。

以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制,虽然本发明已以较佳实施例揭露如上,然而并非用以限定本发明,任何熟悉本专业的技术人员,在不脱离本发明技术方案范围内,当可利用上述揭示的技术内容作出些许更动或修饰为等同变化的等效实施例,但凡是未脱离本发明技术方案内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化与修饰,均仍属于本发明技术方案的范围内。

去获取专利,查看全文>

相似文献

  • 专利
  • 中文文献
  • 外文文献
获取专利

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号