...
首页> 外文期刊>Design automation for embedded systems >System level modeling methodology of NoC design from UML-MARTE to VHDL
【24h】

System level modeling methodology of NoC design from UML-MARTE to VHDL

机译:从UML-MARTE到VHDL的NoC设计的系统级建模方法

获取原文
获取原文并翻译 | 示例
   

获取外文期刊封面封底 >>

       

摘要

The evolution of the semiconductor technology caters for the increase in the System-on-Chip (SoC) complexity. In particular, this complexity appears in the communication infrastructures like the Network-on-Chips (NoCs). However many complex SoCs are becoming increasingly hard to manage. In fact, the design space, which represents all the concepts that need to be explored during the SoC design, is becoming dramatically large and difficult to explore. In addition, the manipulation of SoCs at low levels, like the Register Transfer Level (RTL), is based on manual approaches. This has resulted in the increase of both time-to-market and the development costs. Thus, there is a need for developing some automated high level modeling environments for computer aided design in order to handle the design complexity and meet tight time-to-market requirements. The extension of the UML language called UML profile for MARTE (Modeling and Analysis of Real-Time and Embedded systems) allows the modeling of repetitive structures such as the NoC topologies which are based on specific concepts. This paper presents a new methodology for modeling concepts of NoC-based architectures, especially the modeling of topology of the interconnections with the help of the repetitive structure modeling (RSM) package of MARTE profile. This work deals with the ways of improving the effectiveness of the MARTE standard by clarifying and extending some notations in order to model complex NoC topologies. Our contribution includes a description of how these concepts may be mapped into VHDL. The generated code has been successfully evaluated and validated for several NoC topologies.
机译:半导体技术的发展迎合了片上系统(SoC)复杂性的增加。尤其是,这种复杂性出现在通信基础架构(如“按片网络”(NoC))中。但是,许多复杂的SoC变得越来越难以管理。实际上,代表SoC设计期间需要探索的所有概念的设计空间正变得异常庞大且难以探索。此外,对SoC的低级操作(例如寄存器传输级(RTL))是基于手动方法的。这导致上市时间和开发成本的增加。因此,需要开发一些用于计算机辅助设计的自动化高级建模环境,以处理设计复杂性并满足严格的上市时间要求。用于MARTE(实时和嵌入式系统的建模和分析)的UML语言(称为UML配置文件)的扩展允许对重复结构进行建模,例如基于特定概念的NoC拓扑。本文介绍了一种用于基于NoC的体系结构建模概念的新方法,尤其是借助MARTE概要文件的重复结构建模(RSM)软件包对互连拓扑进行建模。这项工作通过澄清和扩展一些符号来建模复杂的NoC拓扑,从而解决了提高MARTE标准有效性的方法。我们的贡献包括如何将这些概念映射到VHDL的描述。生成的代码已成功评估和验证了几种NoC拓扑。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号