首页> 外文期刊>Wireless personal communications: An Internaional Journal >CTHS Based Energy Efficient Thermal Aware Image ALU Design on FPGA
【24h】

CTHS Based Energy Efficient Thermal Aware Image ALU Design on FPGA

机译:FPGA上基于CTHS的节能热感知图像ALU设计。

获取原文
获取原文并翻译 | 示例
           

摘要

Image ALU is a special type of ALU exclusively designed to perform arithmetic and logical operation on Image only. This Image ALU design is able to perform 14 operations. In this work, we have proposed a novel 4-stages energy efficient CTHS (C-Capacitance Scaling, T-Thermal Scaling, H-HSTL I/O Standard, S-SSTL I/O Standard) approach for Low Power and Thermal Aware Image ALU Design. CTHS technique is achieving 81.79 % reduction in power consumption which is more than the power reduction by method discussed in Shrivastava et al. (IEEE Trans Very Large Scale Integr Syst 18(6):988-997, 2010); Yoonjin and Mahapatra (IEEE Trans Very Large Scale Integr Syst 18(1):15-28, 2010); Chatterjee and Sachdev (IEEE Trans Very Large Scale Integr Syst 13(11):1296-1304, 2005); Wijeratne et al. (IEEE J Solid State Circuits 42(1):26-37, 2007); Nehru et al. (International conference on advances in engineering, science and management pp 145-149, 2012); Ho et al. (IEEE international symposium on circuits and systems pp 353-356, 2013); Rani et al. (3rd in international conference on electronics computer technology pp 224-228, 2011) for ALU. There is 38.63 % reduction in I/O Power and 46.42 % reduction in leakage power, when we scale down capacitance from 50 to 5 pF on 28 nm technology based Kintex-7 FPGA on 100 GHz device operating frequency. FPGA is a Filed Programmable Gate Array. There is 67.05 % reduction in I/O Power when we scale down ambient temperature from 50 to 10 A degrees C on 100 GHz frequency. There are 5 different climates in koppen climate classification. We are taking 5 different values in order to nearly represent 5 climates. Using high profile Heat Sink and 500 LFM Airflow, there is 75.39 % leakage power reduction from the last optimized result of capacitance scaling and 85.84 % leakage power reduction from the initial power dissipation. On 3rd stage, using HSTL I/O Standard, there is 64.53 % power reduction from the initial power dissipation. There is 41.06, 59.26, 78.75 % power reduction from HSTL_II_DCI_18 to HSTL_I_12 on 100, 10 and 1 GHz. On 4th and final stage, using SSTL I/O Standard, there is 81.79 % power reduction from the initial power dissipation. There is 61.83 % reduction in junction temperature, when we apply 500 LFM airflow and high profile heat sink in compare to 250 LFM airflow and no heat sink. LFM is an acronym for Linear Feet per Minute. LFM is a unit of airflow that help us to control junction temperature of FPGA. Unit of leakage power is Watt (W) and Junction Temperature is degree Celsius (A degrees C).
机译:图像ALU是一种特殊类型的ALU,专门设计用于仅对图像执行算术和逻辑运算。该Image ALU设计能够执行14次操作。在这项工作中,我们针对低功耗和热感知图像提出了一种新颖的4阶段节能CTHS(C电容缩放,T热缩放,H-HSTL I / O标准,S-SSTL I / O标准)方法。 ALU设计。 CTHS技术可实现81.79%的功耗降低,这比Shrivastava等人讨论的方法的功耗降低更多。 (IEEE Trans超大规模集成系统18(6):988-997,2010); Yoonjin和Mahapatra(2010年IEEE Trans超大规模集成电路系统18(1):15-28); Chatterjee和Sachdev(IEEE Trans超大规模集成系统13(11):1296-1304,2005); Wijeratne等。 (IEEE J固态电路42(1):26-37,2007); Nehru等。 (2012年工程,科学与管理进步国际会议第145-149页); Ho等。 (2013年IEEE电路与系统国际研讨会pp 353-356); Rani等。 (ALU在电子计算机技术国际会议上排名第三,pp 224-228,2011年)。当我们将基于28 nm技术的Kintex-7 FPGA在100 GHz器件工作频率上将电容从50 pF减小到5 pF时,I / O功耗降低38.63%,泄漏功耗降低46.42%。 FPGA是场可编程门阵列。当我们在100 GHz频率上将环境温度从50 A降低到10 A时,I / O功耗降低了67.05%。柯本气候分类中有5个不同的气候。我们采用5个不同的值来近似表示5个气候。使用高端散热器和500 LFM气流,电容缩放的最后优化结果可降低75.39%的泄漏功率,而初始功耗则可降低85.84%的泄漏功率。在第三阶段,使用HSTL I / O标准,与初始功耗相比,功耗降低了64.53%。从100、10和1 GHz的HSTL_II_DCI_18到HSTL_I_12,功率降低了41.06、59.26、78.75%。在第4个也是最后一个阶段,使用SSTL I / O标准,与初始功耗相比,功耗降低了81.79%。当我们施加500 LFM气流和高轮廓散热器时,结温降低了61.83%,而250 LFM气流则没有散热器。 LFM是每分钟线性脚的缩写。 LFM是气流的单位,可以帮助我们控制FPGA的结温。泄漏功率的单位是瓦特(W),结温的单位是摄氏度(A摄氏度)。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号