掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Design, Automation & Test in Europe Conference & Exhibition;DATE '09
Design, Automation & Test in Europe Conference & Exhibition;DATE '09
召开年:
2009
召开地:
Nice(FR);Nice(FR)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A self-adaptive system architecture to address transistor aging
机译:
适应晶体管老化的自适应系统架构
作者:
Khan, O.
;
Kundu, S.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
MOSFET;
calibration;
semiconductor device models;
semiconductor device reliability;
semiconductor device testing;
software architecture;
virtual machines;
aggressive wear-out conditions;
co-designed virtual machine;
device lifetime degradation;
hardware components;
negative bias temperature instability;
operating frequency;
self-adaptive system architecture;
semiconductor manufacturing;
software components;
system level reliability management scheme;
transistor aging;
voltage supply;
2.
WCRT algebra and interfaces for esterel-style synchronous processing
机译:
WCRT代数和接口用于酯样式同步处理
作者:
Mendler, M.
;
von Hanxleden, R.
;
Traulsen, C.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
embedded systems;
supervisory programs;
timing circuits;
Esterel-style reactive processors;
formal grounding;
hardware-supported multithreading;
synchronous model;
system-level timing;
worst case reaction time;
3.
DPR in high energy physics
机译:
高能物理中的DPR
作者:
Gao, W.
;
Kugel, A.
;
Manner, R.
;
Abel, N.
;
Meier, N.
;
Kebschull, U.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
buffer circuits;
nuclear electronics;
CBM;
DPR;
active buffer project;
compressed baryonic matter;
design flexibility;
dynamic module;
dynamic partial reconfiguration;
high energy physics;
multiple tests;
4.
Title page
机译:
封面
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
MIMO communication;
aerospace engineering;
analogue integrated circuits;
automation;
automotive electronics;
cryptography;
5.
Integrated scheduling and synthesis of control applications on distributed embedded systems
机译:
分布式嵌入式系统上控制应用程序的集成调度和综合
作者:
Samii, S.
;
Cervin, A.
;
Eles, P.
;
Zebo Peng
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
control system synthesis;
embedded systems;
jitter;
scheduling;
control loops;
control performance;
control-scheduling co-design method;
controller synthesis;
distributed embedded systems;
embedded control systems;
integrated scheduling/synthesis;
priority-based scheduling;
static priority-based scheduling;
6.
Tutorials
机译:
讲解
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
7.
Has anything changed in electronic design since 1983?
机译:
自1983年以来,电子设计有什么变化吗?
作者:
Muller, Mike
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
8.
Functional qualification of TLM verification
机译:
TLM验证的功能鉴定
作者:
Bombieri, N.
;
Fummi, F.
;
Pravadelli, G.
;
Hampton, M.
;
Letombe, F.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
electronic design automation;
formal verification;
logic CAD;
EDA tools;
TLM verification;
functional verification quality;
mutation analysis;
transaction level modelling;
9.
A high-level debug environment for communication-centric debug
机译:
用于以通信为中心的调试的高级调试环境
作者:
Goossens, K.
;
Vermeulen, B.
;
Nejad, A.B.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
high level synthesis;
network-on-chip;
AEligthereal NOC architecture;
IP blocks;
abstraction level;
communication-centric debug;
generic debug API;
high-level debug environment;
logical communication views;
structural abstraction techniques;
temporal abstraction techniques;
10.
Health-care electronics The market, the challenges, the progress
机译:
保健电子市场,挑战,进步
作者:
Eberle, W.
;
Mecheri, A.S.
;
Thi Kim Thoa Nguyen
;
Gielen, G.
;
Campagnolo, R.
;
Burdett, A.
;
Toumazou, C.
;
Volckaerts, B.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
biomedical electronics;
biomedical engineering;
biomedical equipment;
brain;
cochlear implants;
finite element analysis;
health care;
intelligent sensors;
power consumption;
reliability;
wireless sensor networks;
artifact reduction;
brain recording;
brain stimulation;
business models;
cochlea implants;
embedded biostimulation applications;
field steering;
finite element modeling;
health care electronics;
medical electronics;
signal processing;
ultralow-power disposable electronics;
wireless body sensor applications;
FEM;
eletrical field modeling;
embedded SoC;
health-care;
implants;
neural stimulation;
wireless body sensor networks;
11.
Single ended 6T SRAM with isolated read-port for low-power embedded systems
机译:
具有隔离读端口的单端6T SRAM,适用于低功耗嵌入式系统
作者:
Singh, J.
;
Pradhan, D.K.
;
Hollis, S.
;
Mohanty, S.P.
;
Mathew, J.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
CMOS memory circuits;
SRAM chips;
embedded systems;
transistor circuits;
CMOS technology;
SRAM module;
active power dissipation;
isolated read-port;
memory size 8 KByte;
parasitics;
six-transistor single- ended static random access memory bitcell;
size 65 nm;
static noise margin;
12.
A case for multi-channel memories in video recording
机译:
录像中多通道存储的情况
作者:
Aho, E.
;
Nikara, J.
;
Tuominen, P.A.
;
Kuusilinna, K.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
storage management chips;
video coding;
video recording;
32-bit memory channels;
H.264/AVC encoding;
frequency 400 MHz;
image resolution;
memory bandwidth;
multi-channel memories;
potential memory load;
power 345 mW;
word length 32 bit;
13.
High level H.264/AVC video encoder parallelization for multiprocessor implementation
机译:
用于多处理器实现的高级H.264 / AVC视频编码器并行化
作者:
Zrida, H.K.
;
Jemai, A.
;
Ammari, A.C.
;
Abid, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
code standards;
embedded systems;
multiprocessing systems;
system-on-chip;
video codecs;
video coding;
H.264-AVC video encoder;
advanced video codec;
embedded system-on-chip;
high-level target-architecture parallelization methodology;
multiprocessor implementation;
14.
Temperature-aware scheduler based on thermal behavior grouping in multicore systems
机译:
基于热行为分组的多核系统中的温度感知调度程序
作者:
Inchoon Yeo
;
Eun Jung Kim
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
microprocessor chips;
processor scheduling;
Intel Quad Core Q6600;
K-means clustering method;
Quad Core Intel XEON E5310 processors;
multicore systems;
temperature-aware scheduler;
thermal behavior grouping;
thermal pattern;
15.
Adaptive idleness distribution for non-uniform aging tolerance in MultiProcessor Systems-on-Chip
机译:
多处理器片上系统中非均匀老化容限的自适应空闲分配
作者:
Paterna, F.
;
Benini, L.
;
Acquaviva, A.
;
Papariello, F.
;
Desoli, G.
;
Olivieri, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
ageing;
system-on-chip;
adaptive idleness distribution;
adaptive workload allocation strategy;
aging tolerance;
core activity duty cycling;
deep submicron designs;
idle time;
multiprocessor simulator;
multiprocessor systems-on-chip;
run-time compensation;
unbalanced core lifetimes;
16.
pTest: An adaptive testing tool for concurrent software on embedded multicore processors
机译:
pTest:一种适用于嵌入式多核处理器上并发软件的自适应测试工具
作者:
Shou-Wei Chang
;
Kun-Yuan Hsieh
;
Jenq Kuen Lee
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
electronic engineering computing;
embedded systems;
master equation;
mobile computing;
power consumption;
probabilistic automata;
program processors;
synchronisation;
embedded multicore processors;
launched embedded multicore processors;
master-slave model;
multicore processors;
popular programming models;
potential concurrency faults;
probabilistic finite-state automaton;
slave system;
software designers;
stress testing;
synchronization anomalies;
17.
A generic platform for estimation of multi-threaded program performance on heterogeneous multiprocessors
机译:
评估异构多处理器上多线程程序性能的通用平台
作者:
Sahu, A.
;
Balakrishnan, M.
;
Panda, P.R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
VLSI;
multiprocessing systems;
VLSI technology;
heterogeneous multiprocessors;
software estimation;
18.
Multi-domain clock skew scheduling-aware register placement to optimize clock distribution network
机译:
多域时钟偏斜调度感知寄存器布局可优化时钟分配网络
作者:
MohammadZadeh, N.
;
Mirsaeedi, M.
;
Jahanian, A.
;
Zamani, M.S.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
circuit optimisation;
clocks;
low-power electronics;
phase shifters;
clock network power consumption;
clock tree optimization;
clock wire length;
multidomain clock skew scheduling-aware register placement;
optimized clock distribution network;
phase shifter;
19.
Decoupling capacitor planning with analytical delay model on RLC power grid
机译:
RLC电网上具有分析延迟模型的去耦电容器规划
作者:
Ye Tao
;
Sung Kyu Lim
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
RLC circuits;
VLSI;
capacitors;
circuit layout;
circuit noise;
delays;
linear programming;
power supply circuits;
RLC power grid;
analytical delay model;
circuit performance;
decoupling capacitor planning;
gate delay;
interconnects;
noise reduction;
power supply network;
supply voltage level;
20.
A novel LDPC decoder for DVB-S2 IP
机译:
用于DVB-S2 IP的新型LDPC解码器
作者:
Muller, S.
;
Schreger, M.
;
Kabutz, M.
;
Alles, M.
;
Kienle, F.
;
Wehn, N.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
BCH codes;
IP networks;
clocks;
decoding;
digital video broadcasting;
forward error correction;
iterative methods;
parity check codes;
receivers;
Bose-Chaudhuri-Hoquenghem decoder;
Gauss-Seidel decoding;
LDPC decoders;
Matthias DVB-S2 receiver;
clock frequency;
low-density parity check;
memory consumption;
permutation matrices;
power consumption;
programmable forward error correction;
Check Node approximation;
DVB-S2;
LDPC;
Soft Decision Decoding;
21.
Increasing the accuracy of SAT-based debugging
机译:
提高基于SAT的调试的准确性
作者:
Sulflow, A.
;
Fey, G.
;
Braunstein, C.
;
Kuhne, U.
;
Drechsler, R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
Boolean functions;
computability;
formal verification;
logic testing;
ternary logic;
Boolean satisfiability;
equivalence checking;
error trace detection;
property checking;
satisfiability-based debugging;
three-valued logic;
trace debugging;
22.
A new design-for-test technique for SRAM core-cell stability faults
机译:
SRAM核心单元稳定性故障的新测试设计技术
作者:
Ney, A.
;
Dilillo, L.
;
Girard, P.
;
Pravossoudovitch, S.
;
Virazel, A.
;
Bastian, M.
;
Gouin, V.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
SRAM chips;
circuit stability;
design for testability;
fault diagnosis;
integrated circuit reliability;
integrated circuit testing;
DfT technique;
SRAM core-cell stability faults;
SRAM design reliability;
VDSM technologies;
design-for-test technique;
low-test application time;
semiconductor memories;
stability fault detection;
23.
Application specific performance indicators for quantitative evaluation of the timing behavior for embedded real-time systems
机译:
专用性能指标,用于定量评估嵌入式实时系统的时序行为
作者:
Konig, F.
;
Boers, D.
;
Slomka, F.
;
Margull, U.
;
Niemetz, M.
;
Wirrer, G.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
application specific integrated circuits;
automotive electronics;
embedded systems;
timing;
application specific performance indicator;
automotive domain;
combustion engine management system;
embedded real time system timing behavior;
scheduling challenge;
24.
Test cost reduction for multiple-voltage designs with bridge defects through Gate-Sizing
机译:
通过门尺寸调整降低具有桥缺陷的多电压设计的测试成本
作者:
Khursheed, S.
;
Al-Hashimi, B.M.
;
Harrod, P.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
design for testability;
fault diagnosis;
integrated circuit testing;
dynamic power reduction design technique;
effective gate sizing technique;
multiVdd design;
multiple-voltage design;
parametric fault model;
resistive bridging faults;
supply voltage setting;
synthesized ISCAS benchmark;
test cost reduction;
test point insertion technique;
Gate Sizing;
Multiple-Vdd designs;
Test Cost;
25.
Static analysis to mitigate soft errors in register files
机译:
静态分析可减轻寄存器文件中的软错误
作者:
Jongeun Lee
;
Shrivastava, A.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
error analysis;
error correction codes;
memory architecture;
microprocessor chips;
optimising compilers;
block post-condition estimation;
compiler optimizations;
linear equation;
linear function representation;
memory structures;
register file vulnerability estimation;
soft errors;
static estimation;
26.
A design methodology for fully reconfigurable Delta-Sigma data converters
机译:
完全可重新配置的Delta-Sigma数据转换器的设计方法
作者:
Yi Ke
;
Craninckx, J.
;
Gielen, G.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE '09》
|
2009年
关键词:
capacitance;
delta-sigma modulation;
electric admittance;
electric resistance;
integrating circuits;
modulators;
power consumption;
transfer functions;
capacitance values;
circuit parameters;
fully reconfigurable low-voltage delta-sigma converters;
integrators;
modulator orders;
next-generation wireless applications;
optimal granularities;
passive component arrays;
power-optimized noise transfer functions;
programmability;
quantizer bits;
resistance values;
system level;
transconductance;
意见反馈
回到顶部
回到首页