掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on
Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on
召开年:
召开地:
Cannizzaro, Catania,Italy
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
15
机译:
15
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
curing;
diffusion;
laser beam annealing;
nanostructured materials;
rapid thermal annealing;
semiconductor doping;
thermal stability;
IEEE International Conference;
advanced logic technologies;
advanced thermal processing;
curing;
diffusion;
interface engineering;
las;
2.
Temperature Dependent Reaction of Thin Ni-Silicide Transrotational Layers on 001Si
机译:
001 Si上硅化镍薄旋转层的温度依赖性反应
作者:
Alberti
;
A.
;
Bongiorno
;
C.
;
Alippi
;
P.
;
La Magna
;
A.
;
Spinella
;
C.
;
Rimini
;
E.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
annealing;
domains;
nickel compounds;
solid-state phase transformations;
transmission electron microscopy;
NiSi;
NiSi - Binary;
annealing temperature;
grain transition;
phase transition;
structural modifications;
temperature 260 degC;
temperature 550 degC;
thin ni-si;
3.
Highly Active Junctions Formed in Crystalline Silicon by Infrared Laser Annealing
机译:
红外激光退火在晶体硅中形成的高活性结
作者:
Mannino
;
G.
;
La Magna
;
A.
;
Privitera
;
V.
;
Christensen
;
J.S.
;
Vines
;
L.
;
Svensson
;
B.G.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
boron;
diffusion;
interstitials;
laser beam annealing;
rapid thermal annealing;
silicon;
B;
B - Element;
Si;
Si - Element;
boron interstitial;
clusters formation;
crystalline silicon;
diffusion length;
highly active junctions;
infrared laser annealing;
millisecond annea;
4.
Laser annealing of a-Si for realization of polycrystalline Si film on plastic substrate
机译:
激光退火a-Si以在塑料基板上实现多晶硅膜
作者:
Mangano
;
F.
;
Caristia
;
L.
;
Costa
;
N.
;
Camalleri
;
M.
;
Ravesi
;
S.
;
Scalese
;
S.
;
Bagiante
;
S.
;
Privitera
;
V.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
crystallisation;
elemental semiconductors;
laser beam annealing;
plasma CVD;
semiconductor thin films;
PECVD technique;
Si;
Si - Interface;
high energy density;
hydrogen out-diffusion;
laser annealing crystallization;
plastic substrate;
polycrystalline silicon film;
5.
Thermal Stability of Pt and C-Doped NiSi Films
机译:
Pt和C掺杂NiSi薄膜的热稳定性
作者:
Machkaoutsan
;
V.
;
Pages
;
X.
;
Bauer
;
M.
;
Thomas
;
S.
;
Mertens
;
S.
;
Verheyden
;
K.
;
Vanormelingen
;
K.
;
Granneman
;
E.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
carbon;
chemical exchanges;
crystal structure;
doping;
electrical resistivity;
heat treatment;
metallic thin films;
nickel alloys;
planarisation;
platinum;
silicon alloys;
sputter deposition;
sputtered coatings;
thermal stability;
transmission electron microscopy;
NiSi;
6.
New Approaches to Ultra Shallow Junction Formation by Molecular Implantation and Millisecond Laser Spike Annealing
机译:
分子注入和毫秒激光尖峰退火形成超浅结的新方法
作者:
Variam
;
N.
;
Kontos
;
A.
;
Arevalo
;
E.
;
Hatem
;
C.
;
Shaoyin Chen
;
Yun Wang
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
CMOS integrated circuits;
boron;
ion implantation;
laser beam annealing;
semiconductor junctions;
JkJk:B;
device scalability;
dopant concentration;
drive current;
extension junctions;
ion implantation;
junction depth;
junction leakage;
millisecond laser spike anneali;
7.
Highly Reliable Rapid Thermal Selective Gate Re-Oxidation Process of Advanced Metal Gate Stacks with Tungsten Electrode
机译:
先进的钨电极金属栅堆叠的高可靠性快速热选择性栅再氧化工艺
作者:
Niess
;
J.
;
Kirchner
;
C.
;
Dietl
;
W.
;
Meyer
;
H.-J.
;
Nadig
;
B.
;
Lerch
;
W.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
MIS structures;
elemental semiconductors;
oxidation;
reflectivity;
silicon;
tungsten;
tungsten compounds;
W-WN-Si;
W-WN-Si - Interface;
advanced metal gate stacks;
rapid thermal selective gate re-oxidation;
secondary ion mass spectroscopy;
spectral reflectivity;
temp;
8.
First Quantitative Observation of Local Temperature Fluctuation in Millisecond Annealing
机译:
毫秒退火中局部温度波动的首次定量观察
作者:
Kubo
;
T.
;
Sukegawa
;
T.
;
Takii
;
E.
;
Yamamoto
;
T.
;
Satoh
;
S.
;
Kase
;
M.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
elemental semiconductors;
incoherent light annealing;
isolation technology;
silicon;
Si;
Si - Interface;
flash lamp annealing;
millisecond annealing;
shallow trench isolation structure;
silicon trench structure;
thermawave method;
transistor structure;
9.
High-Activation Laser Anneal Process for the 45nm CMOS Technology Platform
机译:
适用于45nm CMOS技术平台的高激活激光退火工艺
作者:
Bidaud
;
M.
;
Bono
;
H.
;
Chaton
;
C.
;
Dumont
;
B.
;
Huard
;
V.
;
Morin
;
P.
;
Proencamota
;
L.
;
Ranica
;
R.
;
Ribes
;
G.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
MOSFET;
laser beam annealing;
plasma CVD;
rapid thermal annealing;
semiconductor device reliability;
CMOS technology;
DSA laser technique;
PECVD;
RTA;
dynamic surface anneal laser technique;
rapid thermal annealing;
reliability;
size 45 nm;
source-drain junctions;
sub;
10.
Characteristics of Silicon Oxide Gate MOS Capacitors Formed by Rapid Thermal Oxidation and Annealing
机译:
快速热氧化和退火形成的氧化硅栅极MOS电容器的特性
作者:
Cavarsan
;
F.A.
;
Toma
;
A.
;
Fo
;
J.G.
;
Diniz
;
J.A.
;
Doi
;
I.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
Fourier transform spectra;
MOS capacitors;
current density;
infrared spectra;
insulating thin films;
leakage currents;
oxidation;
rapid thermal annealing;
silicon compounds;
transmission electron microscopy;
Fourier transform infrared spectra;
SiO;
SiO;
11.
Implants of ClusterBoron庐 and ClusterCarbon驴 materials for USJ applications - a study with various anneal techniques
机译:
用于USJ应用的ClusterBoron®和ClusterCarbon驴材料的植入物-各种退火技术的研究
作者:
Sekar
;
K.
;
Krull
;
W.
;
Horsky
;
T.
;
Chan
;
J.
;
McCoy
;
S.
;
Gelpey
;
J.
会议名称:
《》
|
2007年
关键词:
MOS integrated circuits;
annealing;
ion implantation;
solid phase epitaxial growth;
transmission electron microscopy;
ClusterBoron;
ClusterCarbon;
PMOS ultrashallow junction;
TEM;
diffusion-less anneals;
flash-assisted anneals;
high temperature spike anneal;
ion imp;
12.
Nodal and Spatial Analysis for a Compact Thermal Modeling Methodology
机译:
紧凑热建模方法的节点和空间分析
作者:
Krishnamoorthy
;
S.
;
Chowdhury
;
M.H.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
integrated circuit modelling;
integrated circuit reliability;
temperature distribution;
aggressive scaling;
compact thermal modeling;
die level;
heat dissipation;
integrated circuits technology;
nodal analysis;
nonuniform temperature distribution;
reliability;
spat;
13.
Selective Rapid Thermal Oxidation of Silicon vs. Tungsten using Oxygen in Hydrogen
机译:
使用氢气中的氧气对钨和钨进行选择性快速热氧化
作者:
Ripley
;
M.
;
Balasubramanian
;
R.
;
Tam
;
N.
;
Yokota
;
Y.
;
An Bae Lee
;
Tae Jung Kim
;
Chung Hun Lee
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
oxidation;
reflectivity;
silicon compounds;
transmission electron microscopy;
tungsten;
RadOxtrade solution;
Si;
Si - Surface;
SiO;
SiO;
- Binary;
TEM observations;
W;
W - Element;
applied materials radiancetrade chamber;
hydrogen gas;
oxygen gas;
14.
Laser Annealing of Power Devices
机译:
功率器件的激光退火
作者:
Friedrich
;
D.
;
Bernt
;
H.
;
Hanssen
;
H.
;
Oesterlin
;
P.
;
Schmidt
;
H.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
boron;
doping profiles;
insulated gate bipolar transistors;
laser beam annealing;
phosphorus;
power bipolar transistors;
secondary ion mass spectra;
Si:B;
Si:P;
dopant activation;
frequency doubled Yb:YAG laser;
insulated gate bipolar transistor;
phosphorous doped f;
15.
Pattern-Dependent Heating of 3D Structures
机译:
3D结构的图案相关加热
作者:
Granneman
;
E.
;
Pages
;
X.
;
Terhorst
;
H.
;
Verheyden
;
K.
;
Vanormelingen
;
K.
;
Rosseel
;
E.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
annealing;
elemental semiconductors;
silicon;
Si;
Si - Element;
conduction-based system;
pattern-dependent heating;
radiation heating;
size 150 nm to 4500 nm;
spike anneals;
temperature 10 degC to 45 degC;
temperature gradient;
16.
Thermal Processing with Maximized Simplicity and Energy Efficiency
机译:
具有最大程度的简便性和能源效率的热处理
作者:
Malik
;
I.J.
;
Ouaknine
;
M.
;
Fukada
;
T.
;
Woo Sik Yoo
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
rapid thermal processing;
RTP;
energy efficiency;
overall tool productivity;
process capability;
semiconductor tool manufacturers;
thermal processing;
wafer manufacturing process cycle time;
17.
32nm Node USJ Implant 6; Annealing Options
机译:
32nm Node USJ植入物6;退火选项
作者:
Borland
;
J.O.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
annealing;
antimony;
arsenic;
boron compounds;
elemental semiconductors;
ion implantation;
phosphorus;
silicon;
stress relaxation;
HALO implantation;
Jk:As;
Jk:B;
H;
Jk:P;
Jk:Sb;
SDE implantation;
SPE diffusion-less annea;
18.
Impact of sub-melt laser annealing on Si
机译:
亚熔体激光退火对硅的影响
作者:
Rosseel
;
E.
;
Lu
;
J.P.
;
Hikavyy
;
A.
;
Verheyen
;
P.
;
Hoffmann
;
T.
;
Richard
;
O.
;
Geypen
;
J.
;
Bender
;
H.
;
Loo
;
R.
;
Absil
;
P.
;
McIntosh
;
R.
;
Felch
;
S.B.
;
Schreutelkamp
;
R.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
Ge-Si alloys;
MOSFET;
annealing;
laser materials processing;
semiconductor materials;
thermal stresses;
PFET transistor;
Si;
Si - Surface;
Si;
Ge;
-Si - Interface;
SiGe-Si;
defect density;
laser annealing;
leakage current;
source-drain defectivit;
19.
Review on Process-Induced Strain Techniques for Advanced Logic Technologies
机译:
先进逻辑技术的过程应变技术综述
作者:
Wiatr
;
M.
;
Feudel
;
T.
;
Wei
;
A.
;
Mowry
;
A.
;
Boschke
;
R.
;
Javorka
;
P.
;
Gehring
;
A.
;
Kammler
;
T.
;
Lenski
;
M.
;
Frohberg
;
K.
;
Richter
;
R.
;
Horstmann
;
M.
;
Greenlaw
;
D.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
CMOS logic circuits;
annealing;
CMOS flow;
advanced logic technologies;
channel mobility;
flash anneals;
laser anneals;
nMOS;
pMOS;
process-induced strain techniques;
proximity effects;
spacer materials;
stress memorization;
20.
Wafer Level Curing of Polymer Dielectrics with Variable Frequency Microwaves
机译:
可变频率微波固化高分子电介质的晶圆级固化
作者:
Hubbard
;
R.L.
;
Garard
;
R.S.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
|
2007年
关键词:
adhesion;
brittleness;
cracks;
curing;
dielectric thin films;
elongation;
interface phenomena;
photoresists;
polymer films;
shrinkage;
brittleness;
cured film properties;
dielectric films;
elongation;
epoxy-based films;
film cracking;
interfacial adhesion;
photoresists;
p;
21.
Lasers Solutions for Annealing
机译:
退火激光解决方案
作者:
Turk Brandon
;
Paetzel Rainer
;
Brune Jan
;
Govorkov Sergei
;
Simon Frank
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
22.
Millisecond processing beyond chip technology: From electronics to photonics
机译:
超越芯片技术的毫秒级处理:从电子到光子学
作者:
Skorupa W.
;
Anwand W.
;
Posselt M.
;
Prucnal S.
;
Rebohle L.
;
Voelskow M.
;
Zhou S.
;
McMahon R. A.
;
Smith M.
;
Gebel T.
;
Hentsch W.
;
Fendler R.
;
Luthge T.
;
Satta A.
;
Borseth T. Moe
;
Kuznetsov A. Yu.
;
Svensson B.G.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
23.
Low Temperature Thin Film Transistor Technologies
机译:
低温薄膜晶体管技术
作者:
Fruehauf Norbert
会议名称:
《》
24.
Aiming for the Best Matching Between Ultra-Shallow Doping and Milli-To Femto-Second Activation
机译:
争取在超浅掺杂和毫微微微微秒级激活之间实现最佳匹配
作者:
Mizuno Bunji
;
Sasaki Yuichiro
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
25.
Effects of thermal annealing in ion-implanted Gallium Nitride
机译:
离子注入氮化镓中热退火的影响
作者:
Iucolano F.
;
Giannazzo F.
;
Roccaforte F.
;
Puglisi V.
;
Grimaldi M. G.
;
Raineri V.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
26.
Effect of Thermal Annealing on the Electrically Active Profiles and Surface Roughness in Multiple Al Implanted 4H-SiC
机译:
热退火对多次铝注入4H-SiC电活性轮廓和表面粗糙度的影响
作者:
Giannazzo F.
;
Roccaforte F.
;
Raineri V.
;
Salinas D.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
27.
Widening of FUSI RTP Process Window by Spike Anneal
机译:
Spike退火扩大了FUSI RTP工艺窗口
作者:
Lauwers A.
;
Mertens S.
;
Absil P.
;
Chiarella T.
;
Hoffmann T.
;
Kubicek S.
;
de Marneffe J.F.
;
Brijs B.
;
Vrancken C.
;
Biesemans S.
;
Kittl J.
;
Verheyden K.
;
Vanormelingen K.
;
Granneman E.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
28.
Virtual Metrology in RTP with WISR
机译:
使用WISR的RTP中的虚拟计量
作者:
Aderhold Wolfgang
;
Iliopoulos Ilias
;
Hunter Aaron
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
29.
Silicon Laser Annealing by a Two-Pulse Laser System with Variable Pulse Offsets
机译:
通过具有可变脉冲偏移的两脉冲激光系统进行硅激光退火
作者:
Gonda V.
;
Slabbekoorn J.
;
Nanver L.K.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
30.
Excimer Laser Annealing for Low-Temperature Polysilicon Thin Film Transistor Fabrication on Plastic Substrates
机译:
准分子激光退火技术在塑料基板上制备低温多晶硅薄膜晶体管
作者:
Fortunato G.
;
Pecora A.
;
Maiolo L.
;
Cuscuna M.
;
Simeone D.
;
Minotti A.
;
Mariucci L.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
31.
New Tool and New Process for Ultra High Performance for Metal/High-K Gate Dielectric Stack for Sub-45 nm CMOS Manufacturing
机译:
超高性能的新工具和新工艺,用于低于45 nm CMOS制造的金属/高K栅介质堆叠
作者:
Venkateshan A.
;
Singh R.
;
Poole K.F.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
32.
Sacrificial Deuterium Passivation for Improved Interface Engineering in Gate Stack Processing
机译:
牺牲氘钝化以改进门叠层处理中的接口工程
作者:
Pap Andrea Edit
;
Battistig Gabor
;
Ducso Csaba
;
Barsony Istvan
;
Kamaras Katalin
;
Nenyei Zsolt
;
Dietl Waltraud
;
Kirchner Christoph
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
33.
Bi-Directional Reflectivity of Surfaces with Anisotropic Roughness on the Wafer Backside
机译:
晶圆背面具有各向异性粗糙度的表面的双向反射率
作者:
Hsu Pei-feng
;
Buchanan Robert R.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
34.
Boron pile-up phenomena during ultra shallow junction formation
机译:
超浅结形成过程中的硼堆积现象
作者:
Ferri M.
;
Solmi S.
;
Giubertoni D.
;
Bersani M.
;
Hamilton J. J.
;
Kah M.
;
Cowern N.E.B.
;
Kirkby K.
;
Collart E.J.H.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
35.
Investigation of Excimer Laser Annealing of Si using Photoluminescence at Room Temperature
机译:
硅的准分子激光退火在室温下的光致发光研究
作者:
Bourdon H.
;
Halimaoui A.
;
Venturini J.
;
Gonzatti F.
;
Dutartre D.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
36.
Characterization of Nickel Silicides Produced by Millisecond Anneals
机译:
毫秒退火产生的硅化镍的表征
作者:
Adams Bruce
;
Jennings Dean
;
Ma Kai
;
Mayur Abhilash J.
;
Moffatt Steve
;
Nagy Stephen G
;
Parihar Vijay
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
37.
Advanced Activation and Deactivation of Arsenic-Implanted Ultra-Shallow Junctions using Flash and Spike + Flash Annealing
机译:
使用Flash和Spike + Flash退火技术对砷植入的超浅结进行高级激活和去激活
作者:
Lerch W.
;
Paul S.
;
Niess J.
;
McCoy S.
;
Gelpey J.
;
Bolze D.
;
Cristiano F.
;
Severac F.
;
Fazzini P.F.
;
Martinez A.
;
Pichler P.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
38.
Applied Materials'' Product Portfolio and Roadmap
机译:
应用材料公司的产品组合和路线图
作者:
Hunter Aaron
;
Zelenko Jeremy
;
Mani Rajesh
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
39.
Boron Diffusion and Electrical Activation in Pre-Amorphized Si Enriched with Fluorine
机译:
富氟预非晶硅中的硼扩散和电活化
作者:
Impellizzeri G.
;
Mirabella S.
;
Grimaldi M. G.
;
Priolo F.
;
Giannazzo F.
;
Raineri V.
;
Napolitani E.
;
Carnera A.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
40.
Defect Generation and Evolution in Laser Processing of Si
机译:
硅激光加工中缺陷的产生与演化
作者:
La Magna A.
;
Privitera V.
;
Mannino G.
;
Fortunato Guglielmo
;
Cuscuna Massimo
;
Svensson B. G.
;
Monakhov E.
;
Kuitunen K.
;
Slotte J.
;
Tuomisto F.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
41.
Microwave Annealing for Low Temperature Activation of As in Si
机译:
微波退火用于硅中砷的低温活化
作者:
Kowalski Jeff M.
;
Kowalski Jeff E.
;
Lojek Bo
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
42.
Strengths, Weaknesses, Opportunities and Threats of the Post-Silicon Technologies
机译:
后硅技术的优势,劣势,机会和威胁
作者:
Coffa S.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
43.
Atomistic Modeling of Carbon Co-Implants and Rapid Thermal Anneals in Silicon
机译:
硅中碳共放大器和快速热退火的原子建模
作者:
Zographos Nikolas
;
Martin-Bragado Ignacio
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
44.
Role of Temperature on the Morphology and the Chemical Composition of C-based Nanostructures: From Nanocolumns to Nanotubes
机译:
温度对C基纳米结构的形态和化学组成的作用:从纳米柱到纳米管
作者:
Scalese S.
;
Scuderi V.
;
Simone F.
;
Pennisi A.
;
Compagnini G.
;
Privitera V.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
45.
Excimer Laser Annealing of Ion-Implanted Silicon: Dopant Activation, Diffusion and Defect Formation
机译:
离子注入硅的准分子激光退火:掺杂物的活化,扩散和缺陷形成
作者:
Monakhov E.V.
;
Svensson B.G.
;
La Magna A.
;
Alippi P.
;
Italia M.
;
Privitera V.
;
Fortunato G.
;
Mariucci L.
;
Tumisto F.
;
Kuitunen K.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
46.
Characterization of nitrided gate oxides under manufacturing conditions
机译:
在制造条件下氮化氮化栅氧化物的表征
作者:
Hayn Regina
;
Storbeck Olaf
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
47.
Effect of He Induced Nanovoid on B Implanted in Si: The Microscopic Mechanism
机译:
氦诱导的纳米空隙对硅中注入硼的影响:微观机理
作者:
Bruno E.
;
Mirabella S.
;
Priolo F.
;
Giannazzo F.
;
Raineri V.
;
Napolitani E.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
48.
Ultra-Shallow Dopant Diffusion from Pre-Deposited RPCVD Monolayers of Arsenic and Phosphorus
机译:
预先沉积的砷和磷的RPCVD单层超浅掺杂扩散
作者:
Popadic Milos
;
Nanver Lis K.
;
Scholtes T.L.M.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
49.
Control of Source and Drain Extension Phosphorus Profile by Using Carbon Co-Implant
机译:
利用碳共注入控制源漏扩展磷剖面
作者:
Li C. I.
;
Liu Ron
;
Chan M.
;
Hsiao T. F.
;
Yang C. L.
;
Tzou S. F.
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
关键词:
Carbon;
LSA;
Phosphorous;
co-implantation;
ultra shallow junction;
50.
The Reflectivity enhancement of Ni/Ag/(Ti or Mo)/Au Ohmic Contact for Flip-Chip Light-Emitting Diode Applications
机译:
Ni / Ag /(Ti或Mo)/ Au欧姆接触在倒装芯片发光二极管应用中的反射率增强
作者:
Chang Liann-Be
;
Shiue Ching-Chuan
;
Jeng Ming-Jer
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
51.
Laser Activated Radical Generation in Rapid Thermal Processing
机译:
快速热处理中的激光激活自由基生成
作者:
Eisele Ignaz
;
ABmuth Andreas
;
Sulima Torsten
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
52.
Effect of Low Temperature Annealing Prior to Non-melt Laser Annealing in Ultra-shallow Junction Formation
机译:
非熔体激光退火之前的低温退火对超浅结形成的影响
作者:
Fukaya Takumi
;
Yamada Ryuta
;
Tanaka Yuki
;
Matsumoto Satoru
;
Suzuki Toshiharu
;
Fuse Gensyu
;
Kudo Toshio
;
Sakuragi Susumu
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
53.
Wafer Temperature Measurement and Control During Laser Spike Annealing
机译:
激光尖峰退火过程中晶片温度的测量和控制
作者:
Chen Shaoyin
;
Hebb Jeff
;
Jain Amitabh
;
Shetty Shrinivas
;
Wang Yun
会议名称:
《Advanced Thermal Processing of Semiconductors, 2007 15th International Conference on》
意见反馈
回到顶部
回到首页