掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
SPIE Conference on Design for Manufacturability Through Design-process Integration
SPIE Conference on Design for Manufacturability Through Design-process Integration
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Contour-based Optical Proximity Correction
机译:
基于轮廓的光学邻近校正
作者:
Brian Zhou
;
Liang Zhu
;
Yingchun Zhang
;
Yili Gu
;
Xiaohui Kang
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Resolution Enhancement Technologies;
Optical Proximity Correction;
Edge Placement Error;
OPCIter;
Dense Simulation;
2.
Model-Based adaptive Fragmentation
机译:
基于模型的自适应碎片
作者:
Daisy Liu
;
Cheng He Li
;
Xiao Hui Kang
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Edge Placement Error;
Model-based Fragmentation;
Optical Proximity Correction;
Grid-based Simulation;
3.
Process Variability Band Analysis for QuantitativeOptimization of Exposure Conditions
机译:
用于定量优化暴露条件的过程变化带分析
作者:
John L. Sturtevant
;
Srividya Jayaram
;
Le Hong
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
SMO;
Illumination;
NA;
sigma;
Post-OPC Verification;
simulation;
PV Band;
4.
The PIXBAR OPC for Contact-Hole Pattern in sub-70-nm Generation
机译:
SUB-70-NM生成中的接触孔模式的PIXBAR OPC
作者:
KunYuan Chen
;
ChunCheng Liao
;
ShuHao Chen
;
Todd Wey
;
Phoeby Cheng
;
Pinjan (Ryan) Chou
;
Jochen Schacht
;
Dyiann Chou
;
Srividya Jayaram
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
PIXBAR;
OPC;
Pix-based;
Contact-Hole;
Assist-Feature;
Process Window;
5.
Score-Based Fixing Guidance GenerationWith Accurate Hot-Spot Detection Method
机译:
基于分数的定影引导生成准确的热点检测方法
作者:
Yong-Hee Park
;
Dong-Hyun Kim
;
Jung-Hoe Choi
;
Ji-Suk Hong
;
Chul-Hong Park
;
Sang-Hoon Lee
;
Moon-Hyun Yoo
;
Jun-Dong Cho
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
hot-spot detection;
calibrated scoring function;
layout fixing guidance;
process window;
6.
Transistor Layout Configuration Effect on Actual Gate LER
机译:
实际门槛上的晶体管布局配置效果
作者:
Guy Ayal
;
Eitan Shauly
;
Israel Rotshtein
;
Ovadya Menadeva
;
Amit Siany
;
Ram Peltinov
;
Yosi Shacham-Diamand
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Configuration;
LWR;
DFM;
7.
Layout Electrical cooptimizationfor increased tolerance to process variations
机译:
为处理变化的容差增加,布局电气协调
作者:
Lionel Riviere-Cazaux
;
Philippe Hurat
;
Bala Kasthuri
;
Larry Layton
;
Nishath Verghese
;
Cadence Design
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
DFM;
Design for manufacturing;
yield;
variability;
standard cells;
lithography variability;
shaped gate;
8.
Statistical approach to design DRAM bitcell considering overlay errors
机译:
考虑覆盖错误设计DRAM位单元的统计方法
作者:
Yu-Jin Pyo
;
Dae-Wook Kim
;
Jai-Kyun Park
;
Ji-Seong Doh
;
Hyun-Jae Kang
;
Ji-Suk Hong
;
Chul-Hong Park
;
Sang-Hoon Lee
;
Moon-Hyun Yoo
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Overlay specification;
Monte Carlo simulation;
DRAM bitcell;
systematic yield;
parametric yield;
9.
Circuit-topology driven OPC for increasedperformance/yield ratio
机译:
电路拓扑驱动OPC,用于升级/屈服比
作者:
Edmund Pierzchala
;
Fedor Pikus
;
J. Andres Torres
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
DFM;
yield;
performance;
digital circuits;
nanometer design;
10.
Large-scale double-patterning compliant layouts for DP engineand design rule development
机译:
DP Engineand设计规则开发的大规模双图案兼容布局
作者:
Christopher Cork
;
Kevin Lucas
;
John Hapli
;
Herve Raffard
;
Levi Barnes
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
development;
applicability;
distribution;
11.
Practical implementation of via and wire optimization at the SoC level
机译:
SOC水平的VIA和WIRE优化的实际实施
作者:
Chi-Min Yuan
;
Guy Assad
;
Bob Jarvis
;
Marc Olivares
;
Lionel Riviere Cazeau
;
Puneet Sharma
;
Jayathi Subramanian
;
Matt Thompson
;
Kevin Wu
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Redundant vias;
via doubling;
twin vias;
wire spreading;
wire widening;
litho hotspot;
critical area analysis;
design for manufacturing;
12.
Manufacturing system based on tolerance deduced from designintention
机译:
基于专业化的公差制造系统
作者:
Suigen Kyoh
;
Shimon Maeda
;
Sachiko Kobayashi
;
Soichi Inoue
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
DFM;
design intention;
tolerance;
process budget;
13.
Timing-Aware Metal Fill For Optimized Timing Impact and Uniformity
机译:
定时感知金属填充优化的时序冲击和均匀性
作者:
Usha Katakamsetty
;
Colin Hui
;
Li-Da Huang
;
Lannie Weng
;
Peter Wu
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Chemical Mechanical Polishing (CMP);
timing-aware track fill;
place and route;
14.
Verification of extraction repeating pattern efficiencyfrom many actual device data
机译:
从许多实际设备数据验证提取重复模式效率
作者:
Masahiro Shoji
;
Tadao Inoue
;
Masaki YamabeMask
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
DFM;
OPC;
mask writing;
character projection;
15.
Uniformity-Aware Standard Cell Design with Accurate ShapeControl
机译:
具有精确的ShapeControl的均匀性感知标准单元设计
作者:
Hongbo Zhang
;
Martin D.F. Wong
;
Kai-Yuan Chao
;
Liang Deng
;
Soo-Han Choi
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Standard Cell Design;
1-D patterning;
Gap Distribution;
Dense Line Printing;
Double Patterning;
16.
Simplify to Survive,prescriptive layouts ensure profitable scaling to 32nm and beyond
机译:
简化以生存,规定的布局确保盈利缩放到32nm及以后
作者:
Lars Liebmann
;
Larry Pileggi
;
Jason Hibbeler
;
Vyacheslav Rovner
;
Tejas Jhaveri
;
Greg Northrop
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Design for Manufacturability (DfM);
template-based design;
design-technology co-optimization (DTCO);
predictably composable logic;
pdBrix;
17.
Enhanced Layout Optimization of Sub-45nm Standard, Memory Cells and Its Effects
机译:
增强了Sub-45nm标准,内存单元及其效果的布局优化
作者:
Seung Weon Paek
;
Dae Hyun Jang
;
Joo Hyun Park
;
Naya Ha
;
Byung-Moo Kim
;
Hyo Sig Won
;
Kyu-Myung Choi
;
Kuang-Kuo Lin
;
Simon Klaver
;
Shobhit Malik
;
Michiel Oostindie
;
Frank Driessen
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
DfM kit(s);
DfM validation;
Trade-off;
CAA;
Recommended rule;
litho;
primitive cell(s);
leaf-cell(s);
Memory compilers;
18.
Lithography Aware Statistical Context Characterization of 40nmLogic Cells
机译:
光刻意识到40nmlogic细胞的统计背景表征
作者:
Mark E. Rubin
;
Naohiro Kobayashi
;
Toshiaki Yanagihara
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
lithographic;
Statistical;
CMOS;
19.
Full-flow for transistors simulation based on edge-contour extraction and Advanced SPICE simulation
机译:
基于边缘轮廓提取和高级香料仿真的晶体管仿真全流
作者:
Eitan Shauly
;
Andres Torres
;
Loran Friedrich
;
Moran Cohen-Yasour
;
Ovadya Menadeva
;
Fedor Pikus
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
ECE;
MOSFET;
simulation;
20.
Modeling and simulation of transistor performance shift underpattern-dependent RTA process
机译:
晶体管性能变化的建模与仿真在底层替补的RTA过程中
作者:
Yun Ye
;
Frank Liu
;
Yu Cao
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Rapid-Thermal Annealing;
Layout Pattern;
Dopant Activation;
Threshold Voltage Variation;
Physical Design;
21.
High-precision contouring from SEM image in 32-nm lithography andbeyond
机译:
从SEM图像中的32-NM光刻&Beyond的高精度轮廓
作者:
Hiroyuki Shindo
;
Akiyuki Sugiyama
;
Hitoshi Komuro
;
Yutaka Hojyo
;
Ryoichi Matsuoka
;
John L. Sturtevant
;
Thuy Do
;
Ir Kusnadi
;
Germain Fenger
;
Peter De Bisschop
;
Jeroen Van de Kerkhove
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
OPC;
CD-SEM;
SEM contouring;
model calibration;
MBAC (Measurement Based Averaged Contour) contour-based model calibration;
22.
Variability aware interconnect timing models for double patterning
机译:
可变性识别的双图案化的互连定时模型
作者:
Eric Y. Chin
;
Andrew R. Neureuther
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
interconnect;
defocus;
dose;
overlay;
extraction;
timing;
DFM;
double patterning;
23.
Detecting Context Sensitive Hot Spots in Standard Cell Libraries
机译:
检测标准单元库中的上下文敏感热点
作者:
Jen-Yi Wuu
;
Fedor G. Pikus
;
Andres Torres
;
Malgorzata Marek-Sadowska
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
DFM;
manufacturability;
lithography;
hot spot detection;
layout optimization;
standard cell library;
24.
The Nebulous Hotspot and Algorithm Variability
机译:
模糊的热点和算法变异性
作者:
Alfred K. K. Wong
;
Edmund Y. Lam
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
algorithm variability;
computation lithography;
LPC;
hotspot;
25.
Directional 2D functions as models for fast layout pattern transferverification
机译:
定向2D用作快速布局图案传送验证的模型
作者:
J. Andres Tones
;
Mark Hofmann
;
Oberdan Otto
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
DFM;
Lithography checks;
layout verification;
layout portability;
26.
Computational Requirements for OPC
机译:
OPC的计算要求
作者:
Chris Spence
;
Scott Goad
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Optical Proximity Correction (OPC);
Mask Data Preparation (MDP);
Resolution Enhancement Technique (RET);
Hardware Acceleration (HA);
Amdahl's law;
27.
2D Design Rule and Layout Analysis using Novel Large-areaFirst-principles-based Simulation Flow IncorporatingLithographic and Stress Effects
机译:
使用基于大面积的大面积大小原则的模拟流程的2D设计规则和布局分析掺入了光谱和应力效应
作者:
Steven L. Prins
;
James Blatchford
;
Oluwamuyiwa Olubuyide
;
Deborah Riley
;
Simon Chang
;
Qi-Zhong Hong
;
T.S. Kim
;
Ricardo Borges
;
Li Lin
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Stress Variability;
Design Rules;
Mobility;
Random Context;
28.
Electrical Impact of Line-Edge Roughness on Sub-45nm NodeStandard Cell
机译:
线边缘粗糙度对45nm NodeStandard细胞的电气冲击
作者:
Yongchan Ban
;
Savithri Sundareswaran
;
Rajendran Panda
;
David Z. Pan
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
LER;
line-edge roughness;
sub-45nm process;
standard cell;
circuit performance;
DFM;
29.
Parameter-Specific Electronic Measurement and Analysis of Sourcesof Variation using Ring Oscillators
机译:
使用环形振荡器的参数特定的电子测量和分析变化源
作者:
Lynn T.-N. Wang
;
Liang-Teck Pang
;
Andrew R. Neureuther
;
Borivoje Nikolic
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Variability;
ring oscillators;
lithography;
DFM;
Pattern Matching;
focus;
misalignment;
stress;
30.
Interval-value Based Circuit Simulation for Statistical Circuit Design
机译:
基于区间值的统计电路设计电路仿真
作者:
Qian Ying Tang
;
Costas J. Spanos
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
interval-valued circuit simulation;
statistical design;
variability;
31.
Variations in timing and leakage power of 45nm library cells due tolithography and stress effects
机译:
45nm库电池的定时和泄漏功率变化到期水平和应力效应
作者:
Kayvan Sadra
;
Mark Terry
;
Arjun Rajagopal
;
Robert A. Soper
;
Donald Kolarik
;
Tom Aton
;
Brian Hornung
;
Rajesh Khamankar
;
Philippe Hurat
;
Bala Kasthuri
;
Yajun Ran
;
Nishath Verghese
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
variations;
timing;
leakage power;
library;
DFM;
LEA;
context dependence;
stress;
32.
Source-mask selection using computational lithography incorporatingphysical resist models
机译:
使用计算光刻的源掩码选择包含本体抗蚀剂模型
作者:
Sanjay Kapasi
;
Stewart Robertson
;
John Biafore
;
Mark D. Smith
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Computational lithography;
Resist models;
Source-Mask;
PROLITH;
LithoWare;
Simulation;
SMO;
Rigorous models;
Physical models;
Virtual lithography;
33.
Hotspot Management for Spacer Patterning Technologywith Die-to-Database Wafer Inspection System
机译:
适用于钻孔图案化技术的热点管理,钻到数据库晶圆检测系统
作者:
Yoshinori Hagio
;
Ichirota Nagahama
;
Yasuo Matsuoka
;
Hidefumi Mukai
;
Kohji Hashimoto
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Spacer patterning process;
Die-to-database inspection;
Hotspot management;
DFM;
34.
Design-Overlay Interactions in Metal Double Patterning
机译:
金属双图案化的设计叠加相互作用
作者:
Rani S. Ghaida
;
Puneet Gupta
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Double patterning;
overlay;
overlay control;
alignment strategy;
overlay budget;
DFM;
wire spreading;
wire widening;
congestion;
35.
Convergent Automated Chip Level LithographyChecking and Fixing at 45nm
机译:
收敛自动化芯片水平光刻刻录和固定在45nm
作者:
Valerio Perez
;
Shyue Fong Quek
;
Sky Yeo
;
Colin Hui
;
Kuang Kuo Lin
;
Walter Ng
;
Michel Cote
;
Bala Kasthuri
;
Philippe Hurat
;
Matt A. Thompson
;
Chi-Min Yuan
;
Puneet Sharma
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Automated;
OPC;
LPA;
36.
Illustration of Illumination Effects on Proximity, Focus Spillover, andDesign Rules
机译:
照明效应的插图在接近,聚焦溢出,anddesign规则
作者:
Lynn T.-N. Wang
;
Anthony Yeh
;
Lilly Kem
;
Andrew R. Neureuther
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Pattern matching;
annular illumination;
dipole illumination;
off-axis;
defects;
ATT-PSM;
focus;
45nm technology;
37.
Computational technology scaling from 32 nm to 28 and 22 nmthrough systematic layout printability verification
机译:
计算技术从32nm到28和22纳米下来的系统布局可打印验证
作者:
Jason P. Cain
;
Luigi Capodieci
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
design for manufacturability;
computational scaling;
lithographic printability;
process capability;
38.
Systematic study of the impact of curved active and poly contourson transistor performance
机译:
弯曲有效和聚扶晶体管性能影响的系统研究
作者:
Victor Moroz
;
Munkang Choi
;
Xi-Wei Lin
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Optical proximity effects;
simulation;
curved channel;
TCAD;
corner rounding;
3D device analysis;
transistor degradation;
transistor layout engineering;
layout optimization;
shape engineering;
39.
Process Variation Aware OPC Modeling for Leading EdgeTechnology Nodes
机译:
用于领先的Edjetechnology节点的过程变型感知OPC建模
作者:
Qiaolin(Charlie) Zhang
;
Ebo Croffie
;
Yongfa Fan
;
Jianliang Li
;
Kevin Lucas
;
Brad Falch
;
Lawrence Melvin
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Lithography;
optical proximity correction (OPC);
lithography verification;
continuous process window model;
focus-exposure matrix;
Bossung curve;
model calibration;
40.
Compensating Non-Optical Effects using Electrically-Driven OpticalProximity Correction
机译:
使用电驱动的光学突变校正来补偿非光学效应
作者:
Shayak Banerjee
;
Kanak B. Agarwal
;
James A. Culp
;
Praveen Elakkumanan
;
Lars W.Liebmann
;
Michael Orshansky
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Electrically-driven OPC;
design intent;
systematic variation;
compensation of variability;
41.
Developing DRC Plus Rules through 2D Pattern Extraction andClustering Techniques
机译:
通过2D模式提取和集集技术开发DRC Plus规则
作者:
Vito Dai
;
Luigi Capodieci
;
Jie Yang
;
Norma Rodriguez
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
design;
rule;
pattern;
classify;
hotspot;
manufacturability;
style;
variability;
42.
Design Ranking and Analysis Methodology for StandardCells and Full Chip Physical Optimization
机译:
设计排名和分析方法,用于标准化单元和全芯片物理优化
作者:
Yosi Vaserman
;
Eitan Shauly
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
DFM;
DFM metrics;
design ranking;
yield scoring;
43.
Test Structures for 40 nm Design Rule Evaluation
机译:
测试结构40 nm设计规则评估
作者:
Jonathan Ho
;
Yan Wang
;
Benjamin Lin
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Design for manufacturability (DFM);
Lithography;
44.
Clustering and pattern matching for an automatichotspot classification and detection system
机译:
用于自动机密分类和检测系统的聚类和模式匹配
作者:
Justin Ghan
;
Ning Ma
;
Sandipan Mishra
;
Costas Spanos
;
Kameshwar Poolla
;
Norma Rodriguez
;
Luigi Capodieci
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
hotspot classification;
DRC;
pattern-matching;
incremental clustering;
45.
Exploration of Complex Metal 2D Design Rules Using InverseLithography
机译:
思考法探索复杂金属2D设计规则
作者:
Simon Chang
;
James Blatchford
;
Steve Prins
;
Scott Jessen
;
Thuc Dam
;
Guangming Xiao
;
Linyong Pang
;
Bob Gleason
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Design rule;
OPC;
lithography simulation;
metal patterning;
46.
Implementing a Framework to Generate a Unified OPC database fromdifferent EDA Vendors for 45nm and beyond
机译:
实现框架以生成统一的OPC数据库,从Different EDA供应商处为45nm及更高
作者:
Shady Abdel Wahed
;
Mohamed Al-Imam
;
Rami Fathy
;
Nader Hindawy
;
Jochen Schacht
;
Regina Shen
;
Chia Wei Huang
;
Pei Ru Tsai
;
Te Hung Wu
;
Chuen Huei Yang
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Lithography Modeling;
Model Based OPC;
OPC Fragmentation;
47.
Implementing Self-Aligned Double Patterning onNon-Gridded Design Layouts
机译:
实施自我对齐的双图案onnon-gridded设计布局
作者:
Huixiong Dai
;
Jason Sweis
;
Chris Bencher
;
Yongmei Chen
;
Jen Shu
;
Xumon Xu
;
Chris Ngai
;
Judy Huckabay
;
Milind Weling
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Sidewall spacer;
double patterning;
self-aligned;
layout decomposition;
SSDP;
SADP;
48.
Application of Pixel-based Mask Optimization Technique for HighTransmission Attenuated PSM
机译:
基于像素的掩模优化技术在高旋转衰减PSM中的应用
作者:
Kyohei Sakajiri
;
Alexander Tritchkov
;
Yuri Granik
;
Eric Hendrickx
;
Geert Vandenberghe
;
Monica Kempsell
;
Germain Fenger
;
Klaus Boehm
;
Thomas Scheruebl
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
inverse lithography;
sub-resolution assist features;
high transmission attenuated PSM;
MRC;
49.
Hierarchical Modeling of Spatial Variability with a 45nm Example
机译:
45nm示例的空间变异性的层次建模
作者:
Kun Qian
;
Borivoje Nikolie
;
Costas J. Spanos
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
circuit variability;
across-wafer variation;
45nm;
ring oscillator;
pattern dependent effect;
50.
Hotspot Detection and Design RecommendationUsing Silicon Calibrated CMP Model
机译:
热点检测和设计推荐硅校准CMP模型
作者:
Colin Hui
;
Wang Xian Bin
;
Haigou Huang
;
Ushasree Katakamsetty
;
Laertis Economikos
;
Mohammed Fayaz
;
Stephen Greco
;
Hua Xiang
;
Subramanian Jayathi
;
Yuan Chi-Min
;
Li Song
;
Vikas Mehrotra
;
Kuang Han Chen
;
Tamba Gbondo-Tugbawa
;
Taber Smith
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Chemical Mechanical Polishing (CMP);
Design for Manufacturability (DFM);
Copper Puddling;
Topography;
51.
Design specific variation in pattern transfer by via/contact etchprocess: full-chip analysis
机译:
通过通孔/触点蚀刻过程设计具体变化:全芯片分析
作者:
Valeriy Sukharev
;
Ara Markosian
;
Armen Kteyan
;
Levon Manukyan
;
Nikolay Khachatryan
;
Jun-Ho Choy
;
Hasmik Lazaryan
;
Henrik Hovsepyan
;
Seiji Onoue
;
Takuo Kikuchi
;
Tetsuya Kamigaki
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Plasma etch;
microloading;
pattern density;
full—chip;
simulation;
52.
Tiny Footprint Programmable Electrical Defocus Monitors
机译:
微小的足迹可编程电气defocus监视器
作者:
Wojtek Poppe
;
Patrick Au
;
Darshana Jayasuriya
;
Juliet Rubinstein
;
Andrew R.Neureuther
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Defocus;
defocus monitor;
electrical process monitor;
process characterization;
DFM;
53.
Algorithm for determining printability and colouringof a target layout for double patterning
机译:
用于确定双图案化目标布局的可印刷性和Colouring的算法
作者:
Justin Ghan
;
Apo Sezginer
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Double patterning;
printability;
decomposition;
RET;
54.
Integration of Mask and Silicon Metrology in DFM
机译:
DFM中面具和硅计量的整合
作者:
Ryoichi Matsuoka
;
Hiroaki Mito
;
Akiyuki Sugiyama
;
Yasutaka Toyoda
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
CD-SEM;
OPC;
Recipe generation;
SEM image;
CAD design layout;
DFM;
Contour;
Hotspot;
55.
Impact of Lithography Variability on Analog Circuit Behavior
机译:
光刻变异性对模拟电路行为的影响
作者:
Christopher Progler
;
Bhaskar Banerjee
;
M. F. Hanif
;
T. Mahzabeen
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2009年
关键词:
Variability;
Behavior;
fabrication;
56.
A New Fast Resist Model: the Gaussian LPM
机译:
一种新的快速抗蚀剂模型:高斯LPM
作者:
Chris A. Mack
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Lumped Parameter Model;
LPM;
full-chip simulation;
compact resist model;
optical proximity correction;
OPC;
57.
Rerouting and Guided Repair Strategies To Resolve Lithography Hotspots
机译:
重新路由和引导修复策略来解决光刻热点
作者:
Reinhard Marz
;
Kai Peter
;
Kay Engelhardt
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
design for manufacturing;
lithography friendly design;
re-routing;
guided repair;
58.
Using Templates and Connectors for Layout Pattern Minimization in 20nm and Below Technology Nodes
机译:
使用模板和连接器在20nm和以下技术节点下方的布局模式最小化最小化
作者:
Tejas K. Jhaveri
;
Andrzej J. Strojwas
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Templates;
Regular Design Fabric;
Computational Lithography;
DFM;
SMO;
DPT;
59.
Statistical approach to specify DPT process in terms of patterning and electrical performance of sub-30nm DRAM device
机译:
在Sub-30nm DRAM设备的图案化和电气性能方面指定DPT过程的统计方法
作者:
Yu-Jin Pyo
;
Soo-Han Choi
;
Chul-Hong Park
;
Sang-Noon Lee
;
Moon-Hyun Yoo
;
Gyu-Tae Kim
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
double patterning;
hotspot;
electrical performance;
process specification;
DRAM;
60.
Applications of DBV (Design Based Verification)for steep ramp- up Manufacture
机译:
DBV(基于设计的验证)在陡峭斜坡制造中的应用
作者:
Tae Heon Kim
;
Dae-Han Han
;
Yong-Hyeon Kim
;
Min-Chul Han
;
Hong-Ji Lee
;
Ae-Ran Hong
;
Yoon-Min Kim
;
In-Ho Nam
;
Yong-Jik Park
;
Kyung-Seok Oh
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Design Based Verification;
OPC;
TAT;
hotspot;
post Verification;
Hotspot Pattern Analyzer;
NGR;
61.
Lithography Aware Design Optimization using ILT
机译:
光刻意识设计优化使用over
作者:
Jaeyoon Jeong
;
Seokyun Jeong
;
Changhoon Ahn
;
Yonsun Jang
;
Sukjoo Lee
;
Thomas Cecil
;
Donghwan Son
;
Tatung Chow
;
David Kim
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
DFM;
Design Optimization;
Layout Optimization;
ILT;
OPC;
62.
Standard Cell Electrical and Physical Variability Analysis based onAutomatic Physical Measurement for Design-for-Manufacturing Purposes
机译:
基于标准的细胞电气和物理变异分析,基于仿制性的制造目的
作者:
Eitan Shauly
;
Allon Parag
;
Hafez Khmaisy
;
Uri Krispil
;
Ofer Adan
;
Shimon Levi
;
Sergey Latinsky
;
Ishai Schwarzband
;
Israel Rotstein
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Standard Cell Electrical;
Physical Variability;
Physical Measurement;
63.
Fast Process-Hotspot Detection Using Compressed Patterns
机译:
使用压缩模式进行快速处理 - 热点检测
作者:
Peter Rezk
;
Wael ElManhawy
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
DFM;
Lithography hotspots;
layout verification;
pattern compression;
64.
In-Design DFM CMP Flow for Block Level Simulation Using 32nm CMP Model
机译:
设计DFM CMP流量,用于使用32nm CMP模型进行块电平仿真
作者:
Naya Ha
;
Jinwoo Lee
;
SW Paek
;
Kee Sup Kim
;
Kuang Han Chen
;
Aaron Gower-Hall
;
Tamba Gbondo-Tugbawa
;
Philippe Hurat
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Chemical Mechanical Polishing (CMP);
Design for Manufacturability (DFM);
Physical hotspots;
Thickness Variation;
65.
Extending analog design scaling to sub-wavelength lithography:co-optimization of RET and photomasks
机译:
扩展模拟设计缩放到子波长光刻:RET和光掩模的共同优化
作者:
Ashesh Parikh
;
Siew Dorris
;
Tom Smelko
;
Walter Walbrick
;
Pushpa Mahalingam
;
John Arch
;
Kent Green
;
Vishal Garg
;
Peter Buck
;
Craig West
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
optical proximity correction (OPC);
resolution enhancement technologies (RET);
reticles;
mask writer;
50KeV vector writer;
deep ultra-violet (DUV) laser writer;
66.
Efficient approach to early detection of lithographic hotspots using machine learning systems and pattern matching
机译:
利用机器学习系统和模式匹配早期检测光刻热点的有效方法
作者:
Jen-Yi Wuu
;
Fedor G. Pikus
;
Malgorzata Marek-Sadowska
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
DFM;
manufacturabilitly;
lithography;
lithographic hotspot;
hotspot detection;
pattern matching;
machine learning;
layout optimization;
67.
Multi-selection method for physical design verification applications
机译:
用于物理设计验证应用的多选择方法
作者:
Salma Mostafa
;
J. Andres Torres
;
Peter Rezk
;
Kareem Madkour
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
DFM;
Lithography checks;
layout verification;
layout portability;
layout compatibility;
68.
Validation of process cost effective layout refinement utilizing design intent
机译:
利用设计意图验证工艺经济高效的布局精制
作者:
Sachiko Kobayashi
;
Atsuhiko Ikeuchi
;
Kazunari Kimura
;
Toshiya Kotani
;
Satoshi Tanaka
;
Suigen Kyoh
;
Shimon Maeda
;
Soichi Inoue
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
OPC;
RET;
DfM;
design intent;
yield;
hotspot;
verification;
69.
Lithographic Variation Aware Design Centering for SRAM Yield Enhancement
机译:
SRAM产量增强的光刻变异感知设计居中
作者:
Kanak Agarwal
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Retargeting;
SRAM;
yield;
design centering;
design aware RET;
electrically driven retargeting;
70.
Moore's Law in the Innovation Era
机译:
摩尔在创新时代的法律
作者:
Mark Bohr
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Scaling;
Process Innovation;
Microprocessor Innovation;
System-on-Chip;
System-in-Package;
71.
Aerial Image Retargeting (AIR): Achieving Litho-Friendly Desirs
机译:
空中图像retargeting(Air):实现岩石友好的失望
作者:
Ayman Yehia Hamouda
;
James Word
;
Mohab Anis
;
Karim S. Karim
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
OPC;
RET;
LFD;
DFM;
Process window;
Model-based retargeting;
Aerial Image;
72.
New Double Patterning Technology for Direct Contact consideringPatterning Margin and Electrical Performance
机译:
直接联系的新双图案技术考虑备线和电气性能
作者:
Soo-Han Choi
;
A-Young Je
;
Jae-Seok Yang
;
Chul-Hong Park
;
Sang-Hoon Lee
;
Young-Kwan Park
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
double patterning technology;
overlay error;
direct contact;
pair transistors of analog circuit;
mismatch of analog circuit;
73.
Is Manufacturability with Double Patterning a Burden on Designer?Analysis of Device and Circuit Aspects
机译:
是设计师双重标签的可制造性吗?设备和电路方面的分析
作者:
Rasit Onur Topaloglu
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Manufacturability;
Double Patterning;
Circuit Aspects;
74.
Accurately Predicting Copper Interconnect Topographies in Foundry Design for Manufacturability Flows
机译:
准确预测铸造铸造铜互连地形,用于制造性流动
作者:
Daniel Lu
;
Zhong Fan
;
Ki Duk Tak
;
Li-Fu Chang
;
Elain Zou
;
Jenny Jian
;
Josh Yang
;
Linda Zhuang
;
Kuang Han Chen
;
Philippe Hurat
;
Hua Ding
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Chemical Mechanical Polishing (CMP);
Design for Manufacturability (DFM);
Physical hotspots;
Thickness Variation;
75.
A state-of-the-art hotspot recognition system for full chip verificationwith lithographic simulation
机译:
用于完全芯片验证的最先进的热点识别系统,可平衡仿真
作者:
Mark C. Simmons
;
Jae-hyun Kang
;
Youngkeun Kim
;
Joung Il Park
;
Seung weon Paek
;
Kee-sup Kim
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
candidate hotspot;
coldspot;
hotspot;
lithography;
pattern matching;
process window;
semiconductor;
76.
Self-Aligned Double Patterning (SADP) Friendly Detailed Routing
机译:
自对齐双重图案(SADP)友好的详细路由
作者:
Minoo Mirsaeedi
;
J. Andres Torres
;
Mohab Anis
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
DFM;
Double patterning lithography;
SADP;
layout decomposition;
77.
Integrated Model-Based Retargeting and Optical Proximity Correction
机译:
基于模型的型号和光学邻近校正
作者:
Kanak B. Agarwal
;
Shayak Banerjee
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
aModel-based retargeting;
OPC;
hotspot fixing;
normalized image log slope;
78.
Performance and manufacturability trade-offs of pattern minimization for sub-22nm technology nodes
机译:
子22NM技术节点的模式最小化的性能和可制造性权衡
作者:
Vyacheslav V. Rovner
;
Tejas Jhaveri
;
Daniel Morris
;
Andrzej Strojwas
;
Larry Pileggi
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Regular Design Fabric;
Circuit-Layout Co-Optimization;
Layout Pattern;
Pattern Fill;
DFM;
SMO;
79.
The effective etch process proximity correction methodology for improvingon chip CD variation in 20 nm node DRAM gate
机译:
20 nm节点DRAM门中改变型芯片CD变化的有效蚀刻过程邻近校正方法
作者:
Jeong-Geun Park
;
Sang-Wook Kim
;
Seong-Bo Shim
;
Sung-Soo Suh
;
Hye-Keun Oh
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
process proximity correction;
on chip CD variation;
dynamic random access memory;
gate;
etch;
long range density effect;
80.
HotSpot Detection using Image Pattern Recognition based onHigher-order Local Auto-Correlation
机译:
热点检测使用基于图像模式识别的基于上高订购的本地自动相关性
作者:
Shimon Maeda
;
Tetsuaki Matsunawa
;
Ryuji Ogawa
;
Hirotaka Ichikawa
;
Kazuhiro Takahata
;
Masahiro Miyairi
;
Toshiya Kotani
;
Shigeki Nojima
;
Satoshi Tanaka
;
Kei Nakagawa
;
Tamaki Saito
;
Shoji Mimotogi
;
Soichi Inoue
;
Hirokazu Nosato
;
Hidenori Sakanashi
;
Takumi Kobayashi
;
Masahiro Murakawa
;
Tetsuya Higuchi
;
Eiichi Takahashi
;
Nobuyuki Otsu
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
DfM;
hotspot;
image pattern recognition;
81.
Defect-aware Reticle Floorplanning for EUV Masks
机译:
用于EUV面具的缺陷感知掩盖平面图
作者:
Abde Ali Kagalwalla
;
Puneet Gupta
;
Duck-Hyung Hur
;
Chul-Hong Park
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Defect-aware Reticle;
Floorplanning;
EUV Masks;
82.
Applying Litho-Aware Timing Analysis to Hold Time FixingReduces Design Cycle Time and Power Dissipation
机译:
应用岩度感知时序分析保持时间修复设计周期时间和功耗
作者:
Keisuke Hirabayashi
;
Naohiro Kobayashi
;
Hidemichi Mizuno
;
Tomoo Onodera
;
Tsuyoshi Oguro
;
Philippe Hurat
;
Arindam Chatterjee
;
Koichi Seki
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Applying Litho-Aware Timing;
Power Dissipation;
Hold Time;
83.
Characterization of the Performance Variation for Regular Standard Cell with Process Nonidealities
机译:
常规标准电池与过程非前熟的性能变化的表征
作者:
Hongbo Zhang
;
Yuelin Du
;
Martin D. F. Wong
;
Kai-Yuan Chao
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
1-D Patterning;
Dense Line Printing;
Standard Cell Characterization;
84.
Double Patterning Compliant Logic Design
机译:
双图案标准兼容逻辑设计
作者:
Yuansheng Ma
;
Jason Sweis
;
Chris Bencher
;
Yunfei Deng
;
Huixiong Dai
;
Hidekazu Yoshida
;
Bimal Gisuthan
;
Jongwook Kye
;
Harry J. Levinson
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Optical lithography;
double patterning;
LELE;
SADP;
design rule;
cell-level design;
placement;
routing;
85.
Partial Least Squares-Preconditioned Importance Sampling for Fast Circuit Yield Estimation
机译:
部分最小二乘 - 预先处理的快速电路产量估计的重要性采样
作者:
Yu Ben
;
Costas J. Spanos
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Partial Least;
Squares-Preconditioned Importance;
Yield Estimation;
86.
Single exposure contacts are dead. Long live single exposure contacts!
机译:
单次曝光触点已经死了。长时间的单次曝光联系人!
作者:
Henning Haffner
;
Martin Ostermayr
;
Hideki Kanai
;
Chan Sam Chang
;
Bradley Morgenfeld
;
Jujin An
;
Meng Luo
;
Haoren Zhuang
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
double patterning (DP);
double exposure (DE);
single exposure (SE);
SRAM;
OPC;
mask optimization (MO);
87.
Decomposition-Aware Standard Cell Design Flows toEnable Double-Patterning Technology
机译:
分解感知的标准单元设计流动可打开的双图案化技术
作者:
Lars Liebmann
;
David Pietromonaco
;
Matthew Graf
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
double-patterning technology (DPT);
design rule checking (DRC);
standard cell design;
placement;
routing;
88.
Timing Variability Analysis for Layout-Dependent-Effects in 28 nm Custom and Standard Cell-Based Designs
机译:
28 nM定制和标准小区设计中布局依赖性效应的定时变化分析
作者:
Philippe Hurat
;
Rasit O. Topaloglu
;
Ramez Nachman
;
Piyush Pathak
;
Jac CondeHa
;
Sriram Madhavan
;
Luigi Capodieci
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Timing Variability;
Cell-Based Designs;
Layout-Dependent-Effects;
89.
Methodology for balancing design and process tradeoffs for deep-subwavelength technologies
机译:
平衡设计和流程折衷的方法论为深度亚波长技术
作者:
Ioana Graur
;
Tina Wagner
;
Deborah Ryan
;
Dureseti Chidambarrao
;
Anand Kumaraswamy
;
Jeanne Bickford
;
Mark Styduhar
;
Lee Wang
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
OPC;
RET;
DR;
DFM;
simulation;
pattern recognition;
90.
Layout Decomposition of Self-Aligned Double Patterning for 2D Random Logic Patterning
机译:
用于2D随机逻辑图案的自对准双图案的布局分解
作者:
Yongchan Ban
;
Alex Miloslavsky
;
Kevin Lucas
;
Soo-Han Choi
;
Chul-Hong Park
;
David Z. Pan
会议名称:
《SPIE Conference on Design for Manufacturability Through Design-process Integration》
|
2011年
关键词:
Double patterning;
SADP;
decomposition;
lithography;
random logic patterning;
sub-30nm;
意见反馈
回到顶部
回到首页