掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
团队文献服务
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Design, Automation and Test in Europe Conference and Exhibition
Design, Automation and Test in Europe Conference and Exhibition
召开年:
2020
召开地:
Grenoble(FR)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
324
条结果
1.
Wafer-Level Test Path Pattern Recognition and Test Characteristics for Test-Induced Defect Diagnosis
机译:
晶圆级测试路径模式识别和测试特征,以进行测试诱发的缺陷诊断
作者:
Ken Chau-Cheung Cheng
;
Katherine Shu-Min Li
;
Andrew Yi-Ann Huang
;
Ji-Wei Li
;
Leon Li-Yang Chen
;
Nova Cheng-Yen Tsai
;
Sying-Jyan Wang
;
Chen-Shiun Lee
;
Leon Chou
;
Peter Yi-Yu Liao
;
Hsing-Chung Liang
;
Jwu-E Chen
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
wafer test;
wafer defect map;
test-induced defects;
test path recognition;
test yield;
2.
A Method of Via Variation Induced Delay Computation
机译:
一种通孔变化引起的延迟计算方法
作者:
Moonsu Kim
;
Yun Heo
;
Seungjae Jung
;
Kelvin Le
;
Nathaniel Conos
;
Hanif Fatemi
;
Jongpil Lee
;
Youngmin Shin
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
static timing analysis;
STA;
via;
resistance;
random variation;
statistical static timing analysis;
SSTA;
3.
Fully Automated Analog Sub-Circuit Clustering with Graph Convolutional Neural Networks
机译:
图卷积神经网络的全自动模拟子电路聚类
作者:
Keertana Settaluri
;
Elias Fallon
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
analog design automation;
analog clustering;
graphical convolutional neural networks;
4.
EVPS: An Automotive Video Acquisition and Processing Platform
机译:
EVPS:汽车视频采集和处理平台
作者:
Christophe Flouzat
;
Erwan Piriou
;
Mickaël Guibert
;
Bojan Jovanović
;
Mohamad Oussayran
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Automotive;
Video processing;
MPSOC;
FPGA;
PCIe;
Ethernet TSN;
ADAS;
5.
An On-board Algorithm Implementation on an Embedded GPU: A Space Case Study
机译:
嵌入式GPU上的板载算法实现:一个空间案例研究
作者:
Iván Rodriguez
;
Leonidas Kosmidis
;
Olivier Notebaert
;
Francisco J. Cazorla
;
David Steenari
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
embedded GPUs;
aerospace;
case study;
6.
TLS-Level Security for Low Power Industrial IoT Network Infrastructures
机译:
低功耗工业物联网网络基础设施的TLS级安全性
作者:
Jochen Mades
;
Gerd Ebelt
;
Boris Janjic
;
Frederik Lauer
;
Carl C. Rheinländer
;
Norbert Wehn
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
7.
DynUnlock: Unlocking Scan Chains Obfuscated using Dynamic Keys
机译:
DynUnlock:解锁使用动态密钥混淆的扫描链
作者:
Nimisha Limaye
;
Ozgur Sinanoglu
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
8.
CMOS Implementation of Switching Lattices
机译:
开关格的CMOS实现
作者:
Ismail Cevik
;
Levent Aksoy
;
Mustafa Altun
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
switching lattice;
four-terminal switch;
psuedo NMOS logic;
dynamic logic;
65nm CMOS technology;
9.
A Timing Uncertainty-Aware Clock Tree Topology Generation Algorithm for Single Flux Quantum Circuits
机译:
单通量量子电路的时序不确定性时钟树拓扑生成算法
作者:
Soheil Nazar Shahsavani
;
Bo Zhang
;
Massoud Pedram
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
single flux quantum;
clock tree synthesis;
clock topology generation;
timing uncertainty;
mathematical programming;
10.
Symmetry-based A/M-S BIST (SymBIST): Demonstration on a SAR ADC IP
机译:
基于对称的A / M-S BIST(SymBIST):SAR ADC IP上的演示
作者:
Antonios Pavlidis
;
Marie-Minerve Louërat
;
Eric Faehn
;
Anand Kumar
;
Haralampos-G. Stratigopoulos
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
11.
Range-Controlled Floating-Gate Transistors: A Unified Solution for Unlocking and Calibrating Analog ICs
机译:
范围控制的浮栅晶体管:解锁和校准模拟IC的统一解决方案
作者:
Sai Govinda Rao Nimmalapudi
;
Georgios Volanis
;
Yichuan Lu
;
Angelos Antonopoulos
;
Andrew Marshall
;
Yiorgos Makris
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
12.
Testing Through Silicon Vias in Power Distribution Network of 3D-IC with Manufacturing Variability Cancellation
机译:
具有制造可变性取消功能的3D-IC配电网络中的硅通孔测试
作者:
Koutaro Hachiya
;
Atsushi Kurokawa
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
three dimensional integrated circuits;
design for testability;
through silicon via;
micro-bump;
open defect;
manufacturing variability;
13.
TFApprox: Towards a Fast Emulation of DNN Approximate Hardware Accelerators on GPU
机译:
TFApprox:在GPU上快速模拟DNN近似硬件加速器
作者:
Filip Vaverka
;
Vojtech Mrazek
;
Zdenek Vasicek
;
Lukas Sekanina
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
14.
Binary Linear ECCs Optimized for Bit Inversion in Memories with Asymmetric Error Probabilities
机译:
针对具有非对称错误概率的存储器中的位反转而优化的二进制线性ECC
作者:
Valentin Gherman
;
Samuel Evain
;
Bastien Giraud
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
memory word inversion;
asymmetric error rates;
15.
BeLDPC: Bit Errors Aware Adaptive Rate LDPC Codes for 3D TLC NAND Flash Memory
机译:
BeLDPC:支持3D TLC NAND闪存的位错误感知自适应速率LDPC代码
作者:
Meng Zhang
;
Fei Wu
;
Qin Yu
;
Weihua Liu
;
Lanlan Cui
;
Yahui Zhao
;
Changsheng Xie
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
16.
Poisoning the (Data) Well in ML-Based CAD: A Case Study of Hiding Lithographic Hotspots
机译:
基于ML的CAD中的(数据)井中毒:以隐藏光刻热点为例
作者:
Kang Liu
;
Benjamin Tan
;
Ramesh Karri
;
Siddharth Garg
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
17.
SOLOMON: An Automated Framework for Detecting Fault Attack Vulnerabilities in Hardware
机译:
SOLOMON:一种用于检测硬件中的故障攻击漏洞的自动化框架
作者:
Milind Srivastava
;
Patanjali SLPSK
;
Indrani Roy
;
Chester Rebeiro
;
Aritra Hazra
;
Swarup Bhunia
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
fault attack;
fault evaluation tools;
formal verification;
18.
Formal Synthesis of Monitoring and Detection Systems for Secure CPS Implementations
机译:
用于安全CPS实施的监视和检测系统的形式综合
作者:
Ipsita Koley
;
Saurav Kumar Ghosh
;
Soumyajit Dey
;
Debdeep Mukhopadhyay
;
Amogh Kashyap K N
;
Sachin Kumar Singh
;
Lavanya Lokesh
;
Jithin Nalu Purakkal
;
Nishant Sinha
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Cyber Physical System;
False data injection attack;
Formal method;
Residue based detector;
19.
ASCELLA: Accelerating Sparse Computation by Enabling Stream Accesses to Memory
机译:
ASCELLA:通过启用对内存的流访问来加速稀疏计算
作者:
Bahar Asgari
;
Ramyad Hadidi
;
Hyesoon Kim
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Sparse;
Stream Memory Access;
FPGA;
20.
Computational Restructuring: Rethinking Image Processing using Memristor Crossbar Arrays
机译:
计算重组:重新思考使用忆阻器交叉开关阵列的图像处理
作者:
Baogang Zhang
;
Necati Uysal
;
Rickard Ewetz
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
21.
SCRIMP: A General Stochastic Computing Architecture using ReRAM in-Memory Processing
机译:
SCRIMP:使用ReRAM内存中处理的常规随机计算体系结构
作者:
Saransh Gupta
;
Mohsen Imani
;
Joonseop Sim
;
Andrew Huang
;
Fan Wu
;
M. Hassan Najafi
;
Tajana Rosing
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
22.
TDO-CIM: Transparent Detection and Offloading for Computation In-memory
机译:
TDO-CIM:用于内存计算的透明检测和卸载
作者:
Kanishkan Vadivel
;
Lorenzo Chelini
;
Ali BanaGozar
;
Gagandeep Singh
;
Stefano Corda
;
Roel Jordans
;
Henk Corporaal
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
LLVM;
compute in memory;
memristor;
pattern matching;
Polly;
Loop Tactics;
23.
BackFlow: Backward Edge Control Flow Enforcement for Low End ARM Microcontrollers
机译:
BackFlow:低端ARM微控制器的后向边缘控制流实施
作者:
Cyril Bresch
;
Roman Lysecky
;
David Hély
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
CFI;
Memory Safety;
Compiler;
24.
Delay Sensitivity Polynomials Based Design- Dependent Performance Monitors for Wide Operating Ranges
机译:
基于延迟灵敏度多项式的设计相关性能监视器,适用于宽工作范围
作者:
Ruikai Shi
;
Liang Yang
;
Hao Wang
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
performance monitoring;
path delay modeling;
frequency estimation;
wide operating ranges;
25.
Mitigation of Sense Amplifier Degradation Using Skewed Design
机译:
使用偏斜设计减轻感测放大器的退化
作者:
Daniel Kraak
;
Mottaqiallah Taouil
;
Said Hamdioui
;
Pieter Weckx
;
Stefan Cosemans
;
Francky Catthoor
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
sense amplifier;
memory;
aging;
mitigation;
26.
Blockchain Technology Enabled Pay Per Use Licensing Approach for Hardware IPs
机译:
硬件IP启用区块链技术的按使用付费许可方法
作者:
Krishnendu Guha
;
Debasri Saha
;
Amlan Chakrabarti
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Blockchain;
Hardware IP;
Licensing;
27.
Acceleration of probabilistic reasoning through custom processor architecture
机译:
通过自定义处理器架构加速概率推理
作者:
Nimish Shah
;
Laura I. Galindez Olascoaga
;
Wannes Meert
;
Marian Verhelst
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Sum-product networks;
Arithmetic circuits;
Custom processor;
Probabilistic reasoning;
GPU;
acceleration;
28.
A Performance Analysis Framework for Real-Time Systems Sharing Multiple Resources
机译:
共享多个资源的实时系统的性能分析框架
作者:
Shayan Tabatabaei Nikkhah
;
Marc Geilen
;
Dip Goswami
;
Kees Goossens
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
29.
Scaling Up the Memory Interference Analysis for Hard Real-Time Many-Core Systems
机译:
扩大硬实时多核系统的内存干扰分析
作者:
Maximilien Dupont de Dinechin
;
Matheus Schuh
;
Matthieu Moy
;
Claire Maiza
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
response time analysis;
algorithm optimization;
many-core architectures;
real-time systems;
30.
Lightweight Anonymous Routing in NoC based SoCs
机译:
基于NoC的SoC中的轻量级匿名路由
作者:
Subodha Charles
;
Megan Logan
;
Prabhat Mishra
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
31.
A Non-invasive Wearable Bioimpedance System to Wirelessly Monitor Bladder Filling
机译:
无线监测膀胱充盈的非侵入性可穿戴生物阻抗系统
作者:
Markus Reichmuth
;
Simone Schürle
;
Michele Magno
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Bioimpedance;
Biomedical Application;
Embedded Processing;
Low power design;
Wearable devices;
32.
InfiniWolf: Energy Efficient Smart Bracelet for Edge Computing with Dual Source Energy Harvesting
机译:
InfiniWolf:具有双源能量收集功能的边缘计算节能高效智能手镯
作者:
Michele Magno
;
Xiaying Wang
;
Manuel Eggimann
;
Lukas Cavigelli
;
Luca Benini
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Energy Harvesting;
Biomedical Applications;
Wearable devices;
33.
Sampling from Discrete Distributions in Combinational Hardware with Application to Post-Quantum Cryptography
机译:
组合硬件中离散分布的采样及其在量子后密码学中的应用
作者:
Michael X. Lyons
;
Kris Gaj
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Boolean functions;
centered binomial;
combinational logic;
constant time;
DDG-tree;
discrete Gaussian;
FPGA;
logic minimization;
34.
On the Performance of Non-Profiled Differential Deep Learning Attacks against an AES Encryption Algorithm Protected using a Correlated Noise Generation based Hiding Countermeasure
机译:
基于相关噪声生成的隐藏对策保护的AES加密算法的非剖析差分深度学习攻击的性能
作者:
Amir Alipour
;
Athanasios Papadimitriou
;
Vincent Beroulle
;
Ehsan Aerabi
;
David Hély
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Deep Learning;
Side Channel Analysis;
Profiling SCA;
non-Profiling SCA;
AES encryption algorithm;
Hiding-based AES countermeasure;
35.
Fast and Accurate Performance Evaluation for RISC-V using Virtual Prototypes*
机译:
使用虚拟原型对RISC-V进行快速,准确的性能评估*
作者:
Vladimir Herdt
;
Daniel Große
;
Rolf Drechsler
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
36.
Automated Generation of LTL Specifications For Smart Home IoT Using Natural Language
机译:
使用自然语言自动生成智能家居IoT的LTL规范
作者:
Shiyu Zhang
;
Juan Zhai
;
Lei Bu
;
Mingsong Chen
;
Linzhang Wang
;
Xuandong Li
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
37.
A Heat-Recirculation-Aware VM Placement Strategy for Data Centers
机译:
面向数据中心的热循环感知VM放置策略
作者:
Hao Feng
;
Yuhui Deng
;
Yi Zhou
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
data centers;
heat recirculation;
Virtual Machine;
Cloud Computing;
38.
Energy Optimization in NCFET-based Processors
机译:
基于NCFET的处理器的能源优化
作者:
Sami Salamin
;
Martin Rapp
;
Hussam Amrouch
;
Andreas Gerstlauer
;
Jörg Henkel
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
39.
Towards a Model-based Multi-Objective Optimization Approach For Safety-Critical Real-Time Systems
机译:
面向安全关键实时系统的基于模型的多目标优化方法
作者:
Soulimane Kamni
;
Yassine Ouhammou
;
Antoine Bertout
;
Emmanuel Grolleau
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Critical real-time systems;
model-driven Engineering;
multi-objective optimization;
functional-to-architectural mapping;
real-time analysis tools;
40.
Current-Mode Carry-Free Multiplier Design using a Memristor-Transistor Crossbar Architecture
机译:
采用忆阻晶体管交叉开关架构的电流模式无载乘法器设计
作者:
Shengqi Yu
;
Ahmed Soltan
;
Rishad Shafik
;
Thanasin Bunnam
;
Fei Xia
;
Domenico Balsamo
;
Alex Yakovlev
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Mixed-signal;
current-mode multiplier;
memristor-transistor crossbar;
energy efficiency;
41.
n-bit Data Parallel Spin Wave Logic Gate
机译:
n位数据并行自旋波逻辑门
作者:
Abdulqader Mahmoud
;
Frederic Vanderveken
;
Florin Ciubotaru
;
Christoph Adelmann
;
Sorin Cotofana
;
Said Hamdioui
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Spin-waves;
Spin-wave Computing;
Logic Gate;
Multi-frequency;
Data Parallelism;
Energy;
Delay;
Area;
42.
High-speed analog simulation of CMOS vision chips using explicit integration techniques on many-core processors
机译:
在多核处理器上使用显式集成技术对CMOS视觉芯片进行高速模拟
作者:
Gines Domenech-Asensi
;
Tom J. Kazmierski
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
simulation acceleration;
state-space technique;
nany-core;
GPU;
CMOS imager;
43.
A 100KHz-1GHz Termination-dependent Human Body Communication Channel Measurement using Miniaturized Wearable Devices
机译:
使用小型可穿戴设备的100KHz-1GHz终端相关的人体通信信道测量
作者:
Shitij Avlani
;
Mayukh Nath
;
Shovan Maity
;
Shreyas Sen
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Human Body Communication (HBC);
Body Coupled Communication (BCC);
channel measurements;
44.
From DRUP to PAC and Back
机译:
从DRAG TuPAC返回
作者:
Daniela Kaufmann
;
Armin Biere
;
Manuel Kauers
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
45.
Verifiable Security Templates for Hardware
机译:
可验证的硬件安全模板
作者:
William L. Harrison
;
Gerard Allwein
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
High Level Synthesis;
High Assurance;
Security;
Type Systems;
Proof Checking;
46.
IFFSET: In-Field Fuzzing of Industrial Control Systems using System Emulation
机译:
偏移:使用系统仿真对工业控制系统进行现场模糊测试
作者:
Dimitrios Tychalas
;
Michail Maniatakos
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Industrial Control;
Emulation;
Fuzzing;
47.
FANNet: Formal Analysis of Noise Tolerance, Training Bias and Input Sensitivity in Neural Networks
机译:
FANNet:神经网络中的噪声容忍度,训练偏差和输入灵敏度的形式分析
作者:
Mahum Naseer
;
Mishal Fatima Minhas
;
Faiq Khalid
;
Muhammad Abdullah Hanif
;
Osman Hasan
;
Muhammad Shafique
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Neural Networks;
Formal Methods;
Model Checking;
Formal Analysis;
Adversarial Machine Learning;
48.
A Scalable Mixed Synthesis Framework for Heterogeneous Networks
机译:
异构网络的可扩展混合综合框架
作者:
Max Austin
;
Scott Temple
;
Walter Lau Neto
;
Luca Amarù
;
Xifan Tang
;
Pierre-Emmanuel Gaillardon
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
49.
DiSCERN: Distilling Standard-Cells for Emerging Reconfigurable Nanotechnologies
机译:
DiSCERN:蒸馏用于新兴可重构纳米技术的标准单元
作者:
Shubham Rai
;
Michael Raitza
;
Siva Satyendra Sahoo
;
Akash Kumar
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
50.
A 16×128 Stochastic-Binary Processing Element Array for Accelerating Stochastic Dot-Product Computation Using 1-16 Bit-Stream Length
机译:
使用1-16位流长度加速随机点积计算的16×128随机二进制处理元素数组
作者:
Qian Chen
;
Yuqi Su
;
Hyunjoon Kim
;
Taegeun Yoo
;
Tony Tae-Hyoung Kim
;
Bongjin Kim
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
stochastic computation;
artificial neural networks;
dotproduct;
multi-layer perceptron;
image classification;
51.
Towards Exploring the Potential of Alternative Quantum Computing Architectures
机译:
探索替代量子计算架构的潜力
作者:
Arighna Deb
;
Gerhard W. Dueck
;
Robert Wille
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
52.
Accelerating Quantum Approximate Optimization Algorithm using Machine Learning
机译:
利用机器学习加速量子近似优化算法
作者:
Mahabubul Alam
;
Abdullah Ash-Saki
;
Swaroop Ghosh
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
53.
CNT-Cache: an Energy-Efficient Carbon Nanotube Cache with Adaptive Encoding
机译:
CNT缓存:具有自适应编码的节能型碳纳米管缓存
作者:
Dawen Xu
;
Kexin Chu
;
Cheng Liu
;
Ying Wang
;
Lei Zhang
;
Huawei Li
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
54.
Enhancing Multithreaded Performance of Asymmetric Multicores with SIMD Offloading
机译:
通过SIMD卸载提高非对称多核的多线程性能
作者:
Jeckson Dellagostin Souza
;
Madhavan Manivannan
;
Miquel Pericàs
;
Antonio Carlos Schneider Beck
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
functional unit sharing;
offloading;
SIMD;
heterogeneity;
multicore;
55.
Hardware Acceleration of CNN with One-Hot Quantization of Weights and Activations
机译:
CNN的硬件加速以及权重和激活的一键量化
作者:
Gang Li
;
Peisong Wang
;
Zejian Liu
;
Cong Leng
;
Jian Cheng
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
56.
BNNsplit: Binarized Neural Networks for embedded distributed FPGA-based computing systems
机译:
BNNsplit:用于嵌入式分布式基于FPGA的计算系统的二值化神经网络
作者:
Giorgia Fiscaletti
;
Marco Speziali
;
Luca Stornaiuolo
;
Marco D. Santambrogio
;
Donatella Sciuto
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Binarized Neural Networks;
BNN;
PYNQ;
embedded;
distributed;
57.
L2L: A Highly Accurate Log_2_Lead Quantization of Pre-trained Neural Networks
机译:
L2L:预先训练的神经网络的高精度Log_2_Lead量化
作者:
Salim Ullah
;
Siddharth Gupta
;
Kapil Ahuja
;
Aruna Tiwari
;
Akash Kumar
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
machine learning;
neural networks;
quantization;
58.
Fault Diagnosis of Via-Switch Crossbar in Non-volatile FPGA
机译:
非易失性FPGA中Via-Switch交叉开关的故障诊断
作者:
Ryutaro Doi
;
Xu Bai
;
Toshitsugu Sakamoto
;
Masanori Hashimoto
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
59.
Applying Reservation-based Scheduling to a μC-based Hypervisor: An industrial case study
机译:
将基于预留的调度应用于基于μC的虚拟机管理程序:一个工业案例研究
作者:
Dakshina Dasari
;
Michael Pressler
;
Arne Hamann
;
Dirk Ziegenbein
;
Paul Austin
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
60.
Real-Time Energy Monitoring in IoT-enabled Mobile Devices
机译:
支持IoT的移动设备中的实时能源监控
作者:
Nitin Shivaraman
;
Seima Saki
;
Zhiwei Liu
;
Saravanan Ramanathan
;
Arvind Easwaran
;
Sebastian Steinhorst
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Internet of Things;
Electricity Metering;
Smart Meters;
Decentralization;
61.
Towards Specification and Testing of RISC-V ISA Compliance⋆
机译:
迈向RISC-V ISA合规性的规范和测试⋆
作者:
Vladimir Herdt
;
Daniel Große
;
Rolf Drechsler
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
62.
Post-Silicon Validation of the IBM POWER9 Processor
机译:
IBM POWER9处理器的硅后验证
作者:
Tom Kolan
;
Hillel Mendelson
;
Vitali Sokhin
;
Kevin Reick
;
Elena Tsanko
;
Greg Wetli
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
63.
On the Task Mapping and Scheduling for DAG-based Embedded Vision Applications on Heterogeneous Multi/Many-core Architectures
机译:
异构多/多核体系结构上基于DAG的嵌入式视觉应用程序的任务映射和调度
作者:
Stefano Aldegheri
;
Nicola Bombieri
;
Hiren Patel
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Embedded vision applications;
static mapping and scheduling;
OpenVX;
heterogeneous architectures;
64.
Are Cloud FPGAs Really Vulnerable to Power Analysis Attacks?
机译:
云FPGA是否真的容易受到功耗分析攻击?
作者:
Ognjen Glamočanin
;
Louis Coulon
;
Francesco Regazzoni
;
Mirjana Stojilović
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
FPGA Security;
Multitenancy;
Power Analysis Attacks;
65.
Efficient Training on Edge Devices Using Online Quantization
机译:
使用在线量化对边缘设备进行有效培训
作者:
Michael H. Ostertag
;
Sarah Al-Doweesh
;
Tajana Rosing
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
66.
Multi-Agent Actor-Critic Method for Joint Duty-Cycle and Transmission Power Control
机译:
多Agent Actor-Critic方法用于联合占空比和传输功率控制
作者:
Sota Sawaguchi
;
Jean-Frédéric Christmann
;
Anca Molnos
;
Carolynn Bernier
;
Suzanne Lesecq
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
67.
HIT: A Hidden Instruction Trojan Model for Processors
机译:
HIT:处理器的隐藏指令特洛伊木马模型
作者:
Jiaqi Zhang
;
Ying Zhang
;
Huawei Li
;
Jianhui Jiang
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
68.
Bitstream Modification Attack on SNOW 3G
机译:
对SNOW 3G的比特流修改攻击
作者:
Michail Moraitis
;
Elena Dubrova
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
SNOW 3G;
stream cipher;
fault attack;
FPGA;
bitstream modification;
reverse engineering;
69.
A Machine Learning Based Write Policy for SSD Cache in Cloud Block Storage
机译:
基于机器学习的云块存储中SSD缓存的写入策略
作者:
Yu Zhang
;
Ke Zhou
;
Ping Huang
;
Hua Wang
;
Jianying Hu
;
Yangtao Wang
;
Yongguang Ji
;
Bin Cheng
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
cache write policy;
cloud block storage;
machine learning;
SSD;
70.
You Only Search Once: A Fast Automation Framework for Single-Stage DNN/Accelerator Co-design
机译:
您只需搜索一次:单阶段DNN /加速器协同设计的快速自动化框架
作者:
Weiwei Chen
;
Ying Wang
;
Shuang Yang
;
Chen Liu
;
Lei Zhang
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Automl;
Hardware/Software co-design;
Acceleration;
71.
When Sorting Network Meets Parallel Bitstreams: A Fault-Tolerant Parallel Ternary Neural Network Accelerator based on Stochastic Computing
机译:
当排序网络遇到并行比特流时:基于随机计算的容错并行三元神经网络加速器
作者:
Yawen Zhang
;
Sheng Lin
;
Runsheng Wang
;
Yanzhi Wang
;
Yuan Wang
;
Weikang Qian
;
Ru Huang
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Stochastic computing;
ternary neural network;
bitonic sort;
parallel computing;
72.
WavePro: Clock-less Wave-Propagated Pipeline Compiler for Low-Power and High-Throughput Computation
机译:
WavePro:用于低功耗和高通量计算的无时钟波传播管道编译器
作者:
Yehuda Kra
;
Tzachi Noy
;
Adam Teman
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Wave Propagation;
Clock-less Wave Pipeline;
High Throughput;
Low Power;
73.
DeepNVM: A Framework for Modeling and Analysis of Non-Volatile Memory Technologies for Deep Learning Applications
机译:
DeepNVM:用于深度学习应用程序的非易失性内存技术建模和分析的框架
作者:
Ahmet Fatih Inci
;
Mehmet Meric Isgenc
;
Diana Marculescu
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
74.
Efficient Embedded Machine Learning applications using Echo State Networks
机译:
使用Echo状态网络的高效嵌入式机器学习应用程序
作者:
L. Cerina
;
M. D. Santambrogio
;
G. Franco
;
C. Gallicchio
;
A. Micheli
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
75.
ExplFrame: Exploiting Page Frame Cache for Fault Analysis of Block Ciphers
机译:
ExplFrame:利用页帧缓存进行块密码的故障分析
作者:
Anirban Chakraborty
;
Sarani Bhattacharya
;
Sayandeep Saha
;
Debdeep Mukhopadhyay
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Page Frame Cache;
Buddy Allocator;
OpenSSL;
Rowhammer;
DRAM;
Fault Injection;
76.
XGBIR: An XGBoost-based IR Drop Predictor for Power Delivery Network
机译:
XGBIR:用于输电网络的基于XGBoost的IR下降预测器
作者:
Chi-Hsien Pao
;
An-Yu Su
;
Yu-Min Lee
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
77.
On Pre-Assignment Route Prototyping for Irregular Bumps on BGA Packages
机译:
BGA封装上不规则凸点的分配前路线原型设计
作者:
Jyun-Ru Jiang
;
Yun-Chih Kuo
;
Simon Yi-Hung Chen
;
Hung-Ming Chen
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
78.
Towards Best-effort Approximation: Applying NAS to General-purpose Approximate Computing
机译:
努力实现近似:将NAS应用于通用近似计算
作者:
Weiwei Chen
;
Ying Wang
;
Shuang Yang
;
Chen Liu
;
Lei Zhang
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Approximate computing;
NAS;
Energy efficiency;
79.
On the Automatic Exploration of Weight Sharing for Deep Neural Network Compression
机译:
关于深度神经网络压缩的权重共享的自动探索
作者:
Etienne Dupuis
;
David Novo
;
Ian O’Connor
;
Alberto Bosio
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Deep Neural Networks;
Approximate Computing;
Model Compression;
Weight Sharing;
Design Space Exploration;
Embedded System;
Hardware Accelerator;
80.
Robust and High-Performance 12-T Interlocked SRAM for In-Memory Computing
机译:
强大的高性能12-T互锁SRAM,用于内存计算
作者:
Neelam Surana
;
Mili Lavania
;
Abhishek Barma
;
Joycee Mekie
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
In-Memory Computing;
SRAM;
DICE;
Dual Port Memory;
Interlock Structure;
81.
High Density STT-MRAM compiler design, validation and characterization methodology in 28nm FDSOI technology
机译:
28nm FDSOI技术中的高密度STT-MRAM编译器设计,验证和表征方法
作者:
Piyush Jain
;
Akshay Kumar
;
Nicolaas Van Winkelhoff
;
Didier Gayraud
;
Surya Gupta
;
Abdelali El Amraoui
;
Giorgio Palma
;
Alexandra Gourio
;
Laurent Vachez
;
Luc Palau
;
Jean-Christophe Buy
;
Cyrille Dray
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
STT-MRAM;
eMRAM;
memory compiler;
characterization;
architecture;
82.
An Approximation-based Fault Detection Scheme for Image Processing Applications
机译:
基于近似的故障检测方案在图像处理中的应用
作者:
Matteo Biasielli
;
Luca Cassano
;
Antonio Miele
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Approximate Computing;
Convolutional Neural Networks;
Fault Detection;
Image Processing;
83.
Transport-Free Module Binding for Sample Preparation using Microfluidic Fully Programmable Valve Arrays
机译:
使用微流体完全可编程阀阵列进行样品制备的无运输模块绑定
作者:
Gautam Choudhary
;
Sandeep Pal
;
Debraj Kundu
;
Sukanta Bhattacharjee
;
Shigeru Yamashita
;
Bing Li
;
Ulf Schlichtmann
;
Sudip Roy
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
84.
Statistical Model Checking of Approximate Circuits: Challenges and Opportunities
机译:
近似电路的统计模型检查:挑战与机遇
作者:
Josef Strnadel
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
approximate circuit;
error;
trade-off;
relaxed equivalence;
formal verification;
timed automaton;
stochastic automaton;
modeling;
simulation;
statistical model checking;
85.
Runtime Accuracy-Configurable Approximate Hardware Synthesis Using Logic Gating and Relaxation
机译:
使用逻辑门控和松弛的运行时精度可配置的近似硬件综合
作者:
Tanfer Alan
;
Andreas Gerstlauer
;
Jörg Henkel
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
86.
Post-Quantum Secure Boot
机译:
量子后安全启动
作者:
Vinay B. Y. Kumar
;
Naina Gupta
;
Anupam Chattopadhyay
;
Michael Kasper
;
Christoph Krauß
;
Ruben Niederhagen
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
secure SoC;
secure boot;
PQC;
XMSS;
RISC-V;
87.
ROQ: A Noise-Aware Quantization Scheme Towards Robust Optical Neural Networks with Low-bit Controls
机译:
ROQ:面向噪声低噪声的鲁棒神经网络量化方案
作者:
Jiaqi Gu
;
Zheng Zhao
;
Chenghao Feng
;
Hanqing Zhu
;
Ray T. Chen
;
David Z. Pan
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
88.
Statistical Training for Neuromorphic Computing using Memristor-based Crossbars Considering Process Variations and Noise
机译:
使用基于忆阻器的交叉开关考虑过程变化和噪声的神经形态计算的统计训练
作者:
Ying Zhu
;
Grace Li Zhang
;
Tianchen Wang
;
Bing Li
;
Yiyu Shi
;
Tsung-Yi Ho
;
Ulf Schlichtmann
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
89.
Towards Safety Verification of Direct Perception Neural Networks
机译:
迈向直接感知神经网络的安全验证
作者:
Chih-Hong Cheng
;
Chung-Hao Huang
;
Thomas Brunner
;
Vahid Hashemi
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
formal verification;
neural network;
dependability;
autonomous driving;
90.
Next Generation Arithmetic for Edge Computing
机译:
下一代边缘计算算法
作者:
Andre Guntoro
;
Cecilia De La Parra
;
Farhad Merchant
;
Florent De Dinechin
;
John L. Gustafson
;
Martin Langhammer
;
Rainer Leupers
;
Sangeeth Nambiar
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Computer arithmetic;
floating point arithmetic;
mixed-precision arithmetic;
IEEE 754-2008 standard;
91.
Realizing Quantum Algorithms on Real Quantum Computing Devices
机译:
在真实的量子计算设备上实现量子算法
作者:
Carmen G. Almudever
;
Lingling Lao
;
Robert Wille
;
Gian G. Guerreschi
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
92.
Emerging Neural Workloads and Their Impact on Hardware
机译:
新兴的神经工作负荷及其对硬件的影响
作者:
David Brooks
;
Martin M. Frank
;
Tayfun Gokmen
;
Udit Gupta
;
X. Sharon Hu
;
Shubham Jain
;
Ann Franchesca Laguna
;
Michael Niemier
;
Ian O’Connor
;
Anand Raghunathan
;
Ashish Ranjan
;
Dayane Reis
;
Jacob R. Stevens
;
Carole-Jean Wu
;
Xunzhao Yin
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
93.
Efficient Compilation and Execution of JVM-Based Data Processing Frameworks on Heterogeneous Co-Processors
机译:
异构协处理器上基于JVM的数据处理框架的高效编译和执行
作者:
Christos Kotselidis
;
Sotiris Diamantopoulos
;
Orestis Akrivopoulos
;
Viktor Rosenfeld
;
Katerina Doka
;
Hazeef Mohammed
;
Georgios Mylonas
;
Vassilis Spitadakis
;
Will Morgan
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
94.
A Convolutional Result Sharing Approach for Binarized Neural Network Inference
机译:
二值化神经网络推理的卷积结果共享方法
作者:
Ya-Chun Chang
;
Chia-Chun Lin
;
Yi-Ting Lin
;
Yung-Chih Chen
;
Chun-Yao Wang
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
convolutional neural network;
binarized neural network;
approximate computing;
95.
PhoneBit: Efficient GPU-Accelerated Binary Neural Network Inference Engine for Mobile Phones
机译:
PhoneBit:适用于手机的高效GPU加速的二进制神经网络推理引擎
作者:
Gang Chen
;
Shengyu He
;
Haitao Meng
;
Kai Huang
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
96.
A DFT Scheme to Improve Coverage of Hard-to-Detect Faults in FinFET SRAMs
机译:
DFT方案可改善FinFET SRAM中难以检测的故障的覆盖率
作者:
Guilherme Cardoso Medeiros
;
Cemil Cem Gürsoy
;
Lizhou Wu
;
Moritz Fieback
;
Maksim Jenihhin
;
Mottaqiallah Taouil
;
Said Hamdioui
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
FinFET;
SRAM;
Hard-to-Detect Faults;
Defects;
DFT;
Memory Testing;
97.
GraphRSim: A Joint Device-Algorithm Reliability Analysis for ReRAM-based Graph Processing
机译:
GraphRSim:基于ReRAM的图形处理的联合设备算法可靠性分析
作者:
Chin-Fu Nien
;
Yi-Jou Hsiao
;
Hsiang-Yun Cheng
;
Cheng-Yu Wen
;
Ya-Cheng Ko
;
Che-Ching Lin
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
98.
Effective Write Disturbance Mitigation Encoding Scheme for High-density PCM
机译:
高密度PCM的有效写干扰缓解编码方案
作者:
Muhammad Imran
;
Taehyun Kwon
;
Joon-Sung Yang
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
关键词:
Error Correction;
Phase Change Memory (PCM);
Write Disturbance (WD);
99.
Backtracking Search for Optimal Parameters of a PLL-based True Random Number Generator
机译:
回溯搜索基于PLL的真随机数发生器的最佳参数
作者:
Brice Colombier
;
Nathalie Bochard
;
Florent Bernard
;
Lilian Bossuet
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
100.
Selective Concolic Testing for Hardware Trojan Detection in Behavioral SystemC Designs
机译:
行为SystemC设计中用于硬件木马检测的选择性共探测试
作者:
Bin Lin
;
Jinchao Chen
;
Fei Xie
会议名称:
《Design, Automation and Test in Europe Conference and Exhibition》
|
2020年
上一页
1
2
3
4
下一页
意见反馈
回到顶部
回到首页