掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Optical microlithography XXII
Optical microlithography XXII
召开年:
2009
召开地:
San Jose, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Contact Mask Optimization and SRAF Design
机译:
接触面罩优化和SRAF设计
作者:
Uwe P. Schroeder
;
Cyrus Tabery
;
Bradley Morgenfeld
;
Hideki Kanai
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
contacts;
SRAF;
off-axis;
OPC;
2.
Demonstration of 32nm half-pitch electrical testable NAND FLASH patterns using self-aligned double patterning
机译:
使用自对准双图案演示32nm半间距电可测试NAND FLASH图案
作者:
Shiyu Sun
;
Chris Bencher
;
Yongmei Chen
;
Huixiong Dai
;
Man-ping Cai
;
Jaklyn Jin
;
Pokhui Blanco
;
Liyan Miao
;
Ping Xu
;
Xumou Xu
;
James Yu
;
Raymond Hung
;
Shiany Oemardani
;
Osbert Chan
;
Chorng-Ping Chang
;
Chris Ngai
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
32nm half-pitch NAND FLASH;
self-aligned double patterning (SADP);
electrical testable structure;
3.
Advanced Self-aligned Double Patterning Development for Sub-30-nm DRAM Manufacturing
机译:
适用于30纳米以下DRAM制造的高级自对准双图案开发
作者:
Weicheng Shiu
;
Hung Jen Liu
;
Jan Shiun Wu
;
Tsu-Li Tseng
;
Chun Te Liao
;
Chien Mao Liao
;
Jerry Liu
;
Troy Wang
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
self-aligned double pattern (SADP);
double patterning technique (DPT);
sub-40 nm;
alignment;
overlay;
193nm dry scanner;
immersion scanner;
scanners with high index fluids;
Extreme UV (EUV);
4.
New Process Proximity Correction using Neural Network in Spacer Patterning Technology
机译:
间隔物图案化技术中使用神经网络的新工艺接近度校正
作者:
Fumiharu Nakajima
;
Toshiya Kotani
;
Satoshi Tanaka
;
Masafumi Asano
;
Soichi Inoue
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
SPT;
neural network;
lumped-model;
lithography simulation;
OPC;
PPC;
5.
Model-based scanner tuning in a manufacturing environment
机译:
在制造环境中基于模型的扫描仪调整
作者:
C. Y. Shih
;
R. C. Peng
;
T. C. Chien
;
Y. W. Guo
;
J. Y. Lee
;
C. L. Chang
;
P. C. Huang
;
H. H. Liu
;
H. J. Lee
;
John Lin
;
K. W. Chang
;
C. P. Yeh
;
W. J. Shao
;
H. Cao
;
A. Bruguier
;
X. Xie
;
C. H. Chang
;
R. Aldana
;
Y. Cao
;
R. Goossens
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
scanner tuning;
model-based;
scanner matching;
manufacturing environment;
low k1 imaging;
6.
Impact of modelisation pixel size on OPC consistency
机译:
建模像素大小对OPC一致性的影响
作者:
Franck Foussadier
;
Emek Yesilada
;
Jean-Christophe Le Denmat
;
Yorick Trouiller
;
Vincent Farys
;
Frederic Robert
;
Gurwan Kerrien
;
Christian Gardin
;
Loic Perraud
;
Florent Vautrin
;
Alexandre Villaret
;
Catherine Martinelli
;
Jonathan Planchot
;
Jean Luc Di-Maria
;
Mazen Saied
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPC;
pixel based;
snapping;
7.
Overcoming the challenges of 22-nm node patterning through litho-design co-optimization
机译:
通过光刻设计协同优化克服22 nm节点图案化的挑战
作者:
Martin Burkhardt
;
J. C. Arnold
;
Z. Baum
;
S. Burns
;
J. Chang
;
J. Chen
;
J. Cho
;
V. Dai
;
Y. Deng
;
S. Halle
;
G. Han
;
S. Holmes
;
D. Horak
;
S. Kanakasabapathy
;
R.H. Kim
;
A. Klatchko
;
C.S. Koay
;
A. Krasnoperova
;
Y. Ma
;
E. McLellan
;
K. Petrillo
;
S. Schmitz
;
C. Tabery
;
Y. Yin
;
L. Zhuang
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
lithography;
microlithography;
22-nm node;
double patterning;
double dipole lithography;
8.
A Study of Source Mask Optimization for ArF Scanners
机译:
ArF扫描仪的源和掩模优化研究
作者:
Tomoyuki Matsuyama
;
Toshiharu Nakashima
;
Tomoya Noda
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
microlithography;
source;
mask;
optimization;
process window;
9.
32nm and below Logic Patterning using Optimized Illumination and Double Patterning
机译:
使用优化照明和双图案的32nm及以下逻辑图案
作者:
Michael C. Smayling
;
Valery Axelrad
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
low k_1;
gridded design rules;
restricted design rules;
double patterning;
lines and cuts;
10.
Focus and dose characterization of immersion photoclusters
机译:
浸没式光团簇的聚焦和剂量表征
作者:
T.A. Brunner
;
D. Corliss
;
T. Wiltshire
;
C.P. Ausschnitt
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
microlithography;
immersion lithography;
process control;
linewidth uniformity;
focus metrology;
scatterometry;
critical dimension;
11.
OPC Simplification and Mask Cost Reduction Using Regular Design Fabrics
机译:
使用常规设计的面料简化OPC并降低掩模成本
作者:
Tejas Jhaveri
;
Ian Stobert
;
Lars Liebmann
;
Paul Karakatsanis
;
Vyacheslav Rovner
;
Andrzej Strojwas
;
Larry Pileggi
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
regular design fabrics;
OPC;
mask cost;
12.
Feasibility of Ultra-Low k_1 Lithography for 28nm CMOS Node
机译:
超低k_1光刻技术在28nm CMOS节点上的可行性
作者:
Shoji Mimotogi
;
Kazuhiro Takahata
;
Takashi Murakami
;
Seiji Nagahara
;
Kazuhiro Takeda
;
Masaki Satake
;
Yosuke Kitamura
;
Tomoko Ojima
;
Hiroharu Fujise
;
Yuriko Seino
;
Tatsuhiko Ema
;
Hiroki Yonemitsu
;
Manabu Takakuwa
;
Shinichiro Nakagawa
;
Takuya Kono
;
Masafumi Asano
;
Suigen
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
28nm node;
half node;
ultra-low k_1 lithography;
immersion lithography;
ultra-high density SRAM;
rigorous simulation;
single exposure;
13.
Novel approaches to meet the requirements for double patterning
机译:
满足双重图案要求的新颖方法
作者:
Takeaki Ebihara
;
Toshiyuki Yoshihara
;
Hiroshi Morohoshi
;
Tadamasa Makiyama
;
Yoshio Kawanobe
;
Koichiro Tsujita
;
Toshiyuki Kojima
;
Kazuhiro Takahashi
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
DP;
overlay;
CDU;
k1 TUNE;
distortion;
exposure tool;
14.
Investigating the impact of topography on pitch splitting double patterning using rigorous physical simulation
机译:
使用严格的物理模拟研究地形对间距分割双图案的影响
作者:
Stewart A. Robertson
;
Trey Graves
;
John J. Biafore
;
Mark D. Smith
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double patterning;
litho-etch-litho-etch (LELE);
wafer topography;
lithography simulation;
PROLITH;
pattern stitching;
15.
Scanner-dependent Optical Proximity Effects
机译:
扫描仪相关的光学邻近效应
作者:
Jacek. K. Tyminski
;
Tomoyuki Matsuyama
;
Toshiharu Nakashima
;
Ryuichi Inoue
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
scanner signatures;
optical proximity effects;
OPC;
16.
Optimal Setting Strategy for Kernel-Based OPC Simulation Engines
机译:
基于内核的OPC仿真引擎的最佳设置策略
作者:
Katsuyoshi Kodera
;
Satoshi Tanaka
;
Toshiya Kotani
;
Soichi Inoue
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPC;
computational lithography;
kernel-based simulations;
TAT;
17.
A new method for post-etch OPC modeling to compensate for underlayer effects from integrated wafers
机译:
蚀刻后OPC建模的新方法,以补偿集成晶圆的底层效应
作者:
Chandra Sarma
;
Amr Abdo
;
Derren Dunn
;
Daniel Fischer
;
Klaus Herold
;
Scott Mansfield
;
Len Tsou
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPC;
variable etch bias (VEB) OPC model;
topography;
gate lithography;
through pitch;
18.
Etch Aware Optical Proximity Correction: A First Step Toward Integrated Pattern Engineering
机译:
蚀刻感知光学邻近校正:迈向集成图案工程的第一步
作者:
D.N. Dunn
;
S. Mansfield
;
I. Stobert
;
C. Sarma
;
G. Lembach
;
J. Liu
;
K. Herold
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
etch-aware OPC;
Model based retargeting;
etch modeling;
19.
Calibrating OPC model with full CD profile data for 2D and 3D patterns using scatterometry
机译:
使用散射法使用2D和3D图案的完整CD轮廓数据校准OPC模型
作者:
Aasutosh D. Dave
;
Oleg Kritsun
;
Yunfei Deng
;
Kenji Yoshimoto
;
Jie Li
;
Jiangtao Hu
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
immersion;
hyper-NA lithography;
scatterometry;
3D OPC model-calibration;
20.
Pushing the limits of RET with different illumination optimization methods
机译:
通过不同的照明优化方法来提高RET的极限
作者:
Aasutosh. D. Dave
;
Ryoung-han Kim
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
illumination optimization;
pixilated source;
parametric source;
customized source;
21.
Advanced aberration control in projection optics for double patterning
机译:
投影光学系统中的高级像差控制,可实现双重图案
作者:
Toshiyuki Yoshihara
;
Takashi Sukegawa
;
Takashi Sukegawa
;
Nobuhiko Yabu
;
Masatoshi Kobayashi
;
Tadashi Arai
;
Tsuyoshi Kitamura
;
Atsushi Shigenobu
;
Yasuo Hasegawa
;
Kazuhiro Takahashi
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
lens heating;
polarization aberration;
overlay;
in-situ metrology;
22.
Control and reduction of immersion defectivity for yield enhancement at high volume production
机译:
控制和减少浸没缺陷,以提高批量生产时的产量
作者:
Katsushi Nakano
;
Rei Seki
;
Toshiyuki Sekito
;
Masato Yoshida Tomoharu Fujiwara
;
Yasuhiro Iriuchijima
;
Soichi Owa
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
immersion;
topcoat;
topcoat-less;
defectivity;
particle;
wafer edge;
HMDS;
pre-rinse;
23.
Alternative Optical Technologies - More than curiosities?
机译:
替代光学技术-不仅仅是好奇心?
作者:
Bruce W. Smith
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
nanolithography;
plasmonic lithography;
metamaterials;
superlenses;
evanescent wave lithography;
solid immersion lithography;
StED lithography;
interferometric lithography;
24.
Gridded Design Rule Scaling: Taking the CPU toward the 16nm node
机译:
网格设计规则缩放:将CPU推向16nm节点
作者:
Christopher Bencher
;
Huixiong Dai
;
Yongmei Chen
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
sidewall spacer;
double patterning;
self-aligned;
SADP;
SSDP;
gridded design rules;
GDR;
16nm node;
22nm node;
25.
Challenges and solutions in the calibration of projection lens pupil-image metrology tools
机译:
投影镜头光瞳图像计量工具校准中的挑战和解决方案
作者:
Steve Slonaker
;
Bryan Riffel
;
Hisashi Nishinaga
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
iPOT;
microlithography lens metrology;
microscope calibration;
pupilgram;
pupil uniformity;
NA measurement;
diffraction orders;
26.
Innovative pattern matching method considering process margin and scanner design information
机译:
考虑工艺裕度和扫描仪设计信息的创新模式匹配方法
作者:
Koichiro Tsujita
;
Koji Mikami
;
Hiroyuki Ishii
;
Ryo Nakayama
;
Mikio Arakawa
;
Takehiro Ueno
;
Shogo Fujie
;
Kazuhiro Takahashi
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
optimization;
illumination;
pattern matching;
computational lithography;
27.
Resist Development Modeling for OPC Accuracy Improvement
机译:
抵抗开发模型以提高OPC精度
作者:
Yongfa Fan
;
Lena Zavyalova
;
Yunqiang Zhang
;
Charlie Zhang
;
Kevin Lucas
;
Brad Falch
;
Ebo Croffie
;
Jianliang Li
;
Lawrence Melvin
;
Brian Ward
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPC;
modeling;
resist development theories;
28.
Defectivity Improvement by Modified Wafer Edge Treatment in Immersion Lithography
机译:
浸没式光刻中通过改良晶片边缘处理改善缺陷率
作者:
Masafumi Fujita
;
Takao Tamura
;
Naka Onoda
;
Takayuki Uchiyama
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
immersion;
lithography;
scanner;
defectivity;
wafer edge shape;
EBR;
new back rinse;
29.
Design of Resist Stacks with Antireflection Coatings from the Viewpoint of Focus Budget
机译:
从焦点预算的角度来看,具有抗反射涂层的抗蚀剂叠层的设计
作者:
Satoshi Nagai
;
Kazuya Sato
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
best focus difference;
resist stack;
antireflection coating;
hyper-NA;
aberration;
30.
Extending Single-Exposure Patterning Towards 38-nm Half-Pitch Using 1.35 NA Immersion
机译:
使用1.35 NA浸没将单曝光图案扩展到38-nm半间距
作者:
Igor Bouchoms
;
Andre Engelen
;
Jan Mulkens
;
Herman Boom
;
Richard Moerman
;
Paul Liebregts
;
Roelof de Graaf
;
Marieke van Veen
;
Patrick Thomassen
;
Wolfgang Emer
;
Frank Sperling
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
immersion lithography;
exposure systems;
defects;
overlay;
CD control;
low k1;
38-nm;
31.
Modeling Laser Bandwidth for OPC Applications
机译:
为OPC应用建模激光带宽
作者:
Christian Zuniga
;
Kostas Adam
;
Michael Lam
;
Ivan Lalovic
;
Peter De Bisschop
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
laser bandwidth;
laser spectrum;
modeling;
focus blur;
chromatic aberration;
32.
Enabling the lithography roadmap: an immersion tool based on a Novel Stage Positioning System
机译:
启用光刻路线图:基于新型载物台定位系统的沉浸工具
作者:
Fred de Jong
;
Bert van der Pasch
;
Tom Castenmiller
;
Bert Vleeming
;
Richard Droste
;
Frank van de Mast
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
immersion lithography tool;
new stage positioning system;
high acceleration waferstage;
double patterning enabler;
33.
Improved Model Predictability by Machine Data in Computational Lithography and Application to Laser Bandwidth Tuning
机译:
通过计算机光刻中的机器数据改善模型的可预测性并将其应用于激光带宽调谐
作者:
Stefan Hunsche
;
Qian Zhao
;
Xu Xie
;
Robert Socha
;
Hua-Yu Liu
;
Peter Nikolsky
;
Anthony Ngai
;
Paul van Adrichem
;
Michael Crouse
;
Ivan Lalovic
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
computational lithography;
predictive model;
GRAIL;
OPC;
verification;
scanner tuning;
laser bandwidth;
34.
Enabling process window improvement at 45nm and 32nm with free-form DOE illumination
机译:
使用自由形式的DOE照明实现45nm和32nm的工艺窗口改进
作者:
Tamer H. Coskun
;
Apo Sezginer
;
Vishnu Kamat
;
Michiel Kruger
;
Bayram Yenikaya
;
James Carriere
;
Jared Stack
;
Marc Himel
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
source mask optimization;
DOE manufacturing;
free-form illumination;
process-window;
35.
Process Step Reduction at Negative Tone Spacer Patterning Technique Using Developer Soluble Bottom ARC
机译:
使用显影剂可溶底部ARC在负色调间隔物构图技术上减少工艺步骤
作者:
Woo-Yung Jung
;
Jae-Doo Eom
;
Sung-Min Jeon
;
Ji-Hyun Lee
;
Byung-Seok Lee
;
Jin-Woong Kim
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
developer soluble bottom ARC;
negative tone spacer patterning technique;
36.
Dense Lines created by spacer DPT scheme: process control by local dose adjustment using advanced scanner control
机译:
由间隔物DPT方案创建的密集线:使用高级扫描仪控制通过局部剂量调整进行过程控制
作者:
Jo Finders
;
Mircea Dusa
;
Bert Vleeming
;
Timon Fliervoet
;
Birgitt Hepp
;
Henry Megens
;
Remco Groenendijk
;
John Quaedackers
;
Evert Mos
;
Christian Leewis
;
Frank Bornebroek
;
Mireille Maenhoudt
;
Marc Leblans
;
Tom Vandeweyer
;
Gayle Murdoch
;
Efrain Altamirano Sanchez
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double patterning lithography;
spacer self-aligned;
line/space CDU populations;
37.
Achieving overlay budgets for double patterning
机译:
为双图案实现叠加预算
作者:
Andrew J. Hazelton
;
Nobutaka Magome
;
Shinji Wakamoto
;
Akira Tokui
;
Celine Lapeyre
;
Sebastien Barnola
;
Guillaume Jullien
;
Vincent Salvetat
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double Patterning;
CD uniformity;
overlay;
32 nm node;
exposure tool budget;
alignment tree;
38.
Through-Focus Pattern Matching Applied to Double Patterning
机译:
焦点对准模式应用于双图案
作者:
Juliet Rubinstein
;
Andrew R. Neureuther
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
pattern matching;
double patterning;
defocus;
39.
Benefits and Trade-Offs of Global Source Optimization in Optical Lithography
机译:
光学光刻中全球光源优化的利益和取舍
作者:
Kehan Tian
;
Azalia Krasnoperova
;
David Melville
;
Alan E. Rosenbluth
;
Dario Gil
;
Jaione Tirapu-Azpiroz
;
Kafai Lai
;
Saeed Bagheri
;
Chia-chen Chen
;
Bradley Morgenfeld
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
source optimization;
RETs;
pixelated source;
source mask optimization (SMO);
40.
Experimental Result and Simulation Analysis for the use of Pixelated Illumination from Source Mask Optimization for 22nm Logic Lithography Process
机译:
源掩模优化中的像素化照明用于22nm逻辑光刻工艺的实验结果和仿真分析
作者:
Kafai Lai
;
Alan E. Rosenbluth
;
Saeed Bagheri
;
John Hoffnagle
;
Kehan Tian
;
David Melville
;
Jaione Tirapu-Azpiroz
;
Moutaz Fakhry
;
Young Kim
;
Scott Halle
;
Greg McIntyre
;
Alfred Wagner
;
Geoffrey Burr
;
Martin Burkhardt
;
Daniel Corliss
;
Emily Gallagher
;
Tom Faure
;
Michael Hibb
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
SMO;
source mask optimization;
source optimization;
pixelated source;
optical lithography;
mask;
low kl factor;
diffractive optical elements;
mask inspection;
logic lithography;
simulation;
41.
Split, overlap/ stitching and process design for double patterning considering local reflectivity variation by using rigorous 3D wafer-topography/ lithography simulation
机译:
通过使用严格的3D晶片形貌/光刻模拟,考虑局部反射率变化的双图案分割,重叠/缝合和工艺设计
作者:
Itaru Kamohara
;
Thomas Schmoeller
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
wafer-topography;
simulation;
double patterning;
NILS;
process window;
42.
Advances and Challenges in Dual-Tone Development Process Optimization
机译:
双音开发流程优化的进步与挑战
作者:
Carlos Fonseca
;
Mark Somervell
;
Steven Scheer
;
Wallace Printz
;
Kathleen Nafus
;
Shinichi Hatakeyama
;
Yuhei Kuwahara
;
Takafumi Niwa
;
Sophie Bernard
;
Roel Gronheid
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
dual-tone imaging;
dual-tone development;
double patterning;
simulation;
43.
Analysis of topography effects on lithographic performance in double patterning applications
机译:
分析双图案应用中地形对光刻性能的影响
作者:
J.Siebert
;
P.Brooker
;
T.Schmoeller
;
T.Klimpel
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double patterning;
simulation;
wafer topography;
BARC optimization;
44.
Ultimate contact hole resolution using immersion lithography with line/space imaging
机译:
使用浸没式光刻和线/空间成像的最终接触孔分辨率
作者:
V. Truffert
;
J. Bekaert
;
F. Lazzarino
;
M. Maenhoudt
;
A. Miller
;
M. Moelants
;
T. Wu
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
k_1;
contact holes;
double exposure;
light field mask;
image reversal;
negative tone development;
dipole illumination;
immersion;
process;
45.
Scanner OPC signatures: automatic vendor-to-vendor OPE matching
机译:
扫描仪OPC签名:自动进行供应商之间的OPE匹配
作者:
Stephen P. Renwick
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPE;
OPC;
through-pitch;
matching;
NA;
sigma;
proximity;
illumination;
46.
Impact of CD and overlay errors on double-patterning processes
机译:
CD和覆盖错误对双图案处理的影响
作者:
Celine Lapeyre
;
Sebastien Barnola
;
Isabelle Servin
;
Stephanie Gaugiran
;
Vincent Salvetat
;
Nobutaka Magome
;
Andrew J. Hazelton
;
Martin McCallum
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double patterning;
low-k_1 lithography;
LELE;
spacer self-aligned (SADP);
dry ArF scanner;
CDU;
overlay;
topography;
reticle budget;
47.
Integrating Assist Feature Print Fixing with OPC
机译:
将辅助功能打印固定与OPC集成
作者:
Levi D. Barnes
;
Amyn Poonawala
;
Benjamin D. Painter
;
Andrew M. Jost
;
TJ Takei
;
Yong Li
会议名称:
《Optical microlithography XXII》
|
2009年
48.
Double-Patterning-Friendly OPC
机译:
双模式友好OPC
作者:
Xiaohai Li
;
Gerry Luk-Pat
;
Chris Cork
;
Levi Barnes
;
Kevin Lucas
会议名称:
《Optical microlithography XXII》
|
2009年
49.
OPC for Reduced Process Sensitivity in the Double Patterning Flow
机译:
OPC可降低双图案流中的工艺灵敏度
作者:
Mohamed Gheith
;
Le Hong
;
James Word
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPC;
double patterning;
LELE;
overlay error;
50.
A novel methodology for hybrid mask AF generation for 22 and 15nm technology
机译:
用于22和15nm技术的混合掩模AF生成的新方法
作者:
Yi Zou
;
Luigi Capodieci
;
Cyrus Tabery
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
mask AF;
SRAF;
PRAF;
RET;
OPC;
inverse lithography;
computational technology scaling;
51.
An innovative platform for high-throughput, high-accuracy lithography using a single wafer stage
机译:
使用单个晶圆台的高通量,高精度光刻的创新平台
作者:
Yuichi Shibazaki
;
Hirotaka Kohno
;
Masato Hamatani
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
exposure tool;
scanner;
double patterning;
overlay;
throughput;
modular structure;
encoder;
fluctuation;
52.
Polarization Aberration Control for ArF Projection Lenses
机译:
ArF投影镜头的偏振像差控制
作者:
Tomoyuki Matsuyama
;
Naonori Kita
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
microlithography;
projection lens;
vectorial aberration;
polarization aberration;
jones matrix pupil;
pauli matrix pupil;
matrix exponential;
53.
Imaging solutions for the 22nm node using 1.35NA
机译:
使用1.35NA的22nm节点成像解决方案
作者:
Andre Engelen
;
Melchior Mulder
;
Igor Bouchoms
;
Steve Hansen
;
Anita Bouma
;
Anthony Ngai
;
Marieke van Veen
;
Joerg Zimmermann
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
extreme dipole illumination;
source mask optimization;
freeform illumination;
TE polarization;
54.
Speckle in optical lithography and the influence on line width roughness
机译:
光刻中的斑点和对线宽粗糙度的影响
作者:
Oscar Noordman
;
Andrey Tychkov
;
Jan Baselmans
;
James Tsacoyeanes
;
Gary Politi
;
Michael Patra
;
Vladan Blahnik
;
Manfred Maul
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
speckle;
line width roughness;
excimer laser;
bandwidth;
coherence;
temporal;
spatial;
55.
Improving Yield Through the Application of Process Window OPC
机译:
通过使用过程窗口OPC来提高产量
作者:
Jaione Tirapu Azpiroz
;
Azalia Krasnoperova
;
Shahab Siddiqui
;
Kenneth Settlemyer
;
Ioana Graur
;
Ian Stobert
;
James M. Oberschmidt
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
PWOPC;
yield;
contact;
65nm;
56.
Efficient Simulation and Optimization of Wafer Topographies in Double Patterning
机译:
双图案化晶圆表面的高效仿真与优化
作者:
Feng Shao
;
Peter Evanschitzky
;
Tim Fuehner
;
Andreas Erdmann
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double patterning;
double exposure;
resist freezing;
wafer topography;
waferstack optimization;
OPC;
57.
Intensive Optimization of Masks and Sources for 22nm Lithography
机译:
密集优化22nm光刻的掩模和光源
作者:
Alan E. Rosenbluth
;
David O Melville
;
Kehan Tian
;
Saeed Bagheri
;
Jaione Tirapu Azpiroz
;
Kafai Lai
;
Andreas Waechter
;
Tadanobu Inoue
;
Laszlo Ladanyi
;
Francisco Barahona
;
Katya Scheinberg
;
Masaharu Sakamoto
;
Hidemasa Muta
;
Emily Gallagher
;
Tom Faure
;
Michael Hibbs
;
Alexan
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
SMO;
source mask optimization;
pixelated source;
DOE;
programmable illuminator;
wavefront engineering;
58.
A computational technique to optimally design in-situ diffractive elements: applications to projection lithography at the resist resolution limit
机译:
一种优化设计原位衍射元件的计算技术:在抗蚀剂分辨率极限下应用于投影光刻
作者:
Gonzalo R. Feijoo
;
Jaione Tirapu-Azpiroz
;
Alan E. Rosenbluth
;
Assad A. Oberai
;
Jayanth J. Mohan
;
Kehan Tian
;
David Melville
;
Dario Gil
;
Kafai Lai
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double patterning;
near-field;
talbot self-imaging;
holographic interferometry;
adjoint equa-tions;
optimization;
computational lithography;
inverse lithography;
意见反馈
回到顶部
回到首页