掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Optical Microlithography XIV
Optical Microlithography XIV
召开年:
2001
召开地:
Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Alternating PSM Mask Performance -A Study of Multiple Fabrication Technique Results
机译:
交替的PSM掩模性能-多种制造技术结果的研究
作者:
Martin McCallum
;
Patricia Gabella
;
Gil Shelden
;
Kevin Kjoller
;
Kirk Miller
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
alternating PSM;
mask topography;
AFM;
2.
Characterization of Line-Width Variation on 248 and 193 nm Exposure Tools
机译:
248和193 nm曝光工具上线宽变化的表征
作者:
Allen Gabor
;
Tim Brunner
;
Jia Chen
;
Norman Chen
;
Sadanand Deshpande
;
Rich Ferguson
;
Dave Horak
;
Steve Holmes
;
Lars Liebmann
;
Scott Mansfield
;
Antoinette Molless
;
Christopher Progler
;
Paul Rabidoux
;
Deborah Ryan
;
Peter Talvi
;
Len Tsou
;
Ben Vampatella
;
Alfr
会议名称:
《Optical Microlithography XIV》
|
2001年
3.
Process dependencies of Optical Proximity Corrections
机译:
光学接近度校正的工艺依赖性
作者:
Franz Zach
;
Donald Samuels
;
Alan Thomas
;
Shahid Butt
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
optical proximity correction;
process effects;
photomask;
4.
Statistical Method for Influence of Exposure and Focus Error on CD Variation
机译:
曝光和聚焦误差对CD变化影响的统计方法
作者:
Shoji Mimotogi
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
CD yield;
microlithography;
distribution function;
process control;
5.
DRAM Lithographic Scaling in the sub-130 nm Regime
机译:
130 nm以下制程中的DRAM光刻缩放
作者:
Scott Bukofsky
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
DRAM;
scaling;
process window;
ArF lithography;
6.
Effects of Complementary Phase Shift Imaging on Gate CD Control
机译:
互补相移成像对Gate CD控制的影响
作者:
Carla Nelson-Thomas
;
Mike Kling
;
Matt Thompson
;
Ruoping Wang
;
Nigel Cave
;
Chong-Cheng Fu
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
alternating phase shift;
phase shift;
complementary phase shift;
CD variation;
H-V bias;
proximity bias;
7.
Marathon Evaluation of Optical Materials for 157-nm Lithography
机译:
157 nm平版印刷光学材料的马拉松评估
作者:
V. Liberman
;
M. Rothschild
;
N. N. Efremow
;
S. T. Palmacci
;
J. H. C. Sedlacek
;
C. Van Peski
;
K. Orvek
会议名称:
《Optical Microlithography XIV》
|
2001年
8.
Aberration measurement using in situ two-beam interferometry
机译:
使用原位两光束干涉仪进行像差测量
作者:
J.P. Kirk
;
G. Kunkel
;
G. Kunkel
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
aberrations;
blazed gratings;
two beam interferometry;
lens stability;
surface relief images;
9.
Optimal lens assignment through measured aberrations
机译:
通过测量像差优化镜头配置
作者:
Nakgeuon Seong
;
Young S. Kang
;
Hanku Cho
;
Jootae Moon
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
aberration sensitivity;
aberration effect;
ranking of tools;
tool assignment;
10.
REDUCTION OF DOSE EFFECTS DUE TO THE TRANSIENT ABSORPTION IN FUSED SILICA AT 193 NM
机译:
降低193 NM熔融石英中的瞬态吸收引起的剂量效应
作者:
Gregory Kivenzor
;
Richard Guerra
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
optical lithography;
dose control;
exposure;
silica;
transient absorption;
11.
Theoretical and Experimental Optimization of Numerical Aperture and Partial Coherence for Complementary Phase Shift Processes
机译:
互补相移过程的数值孔径和部分相干性的理论和实验优化
作者:
Colin J. Brodsky
;
Carla Nelson-Thomas
;
Nigel Cave
;
John Sturtevant
会议名称:
《Optical Microlithography XIV》
|
2001年
12.
UV Cleaning of Contaminated 157-nm Reticles
机译:
用紫外线清洁受污染的157 nm光罩
作者:
T.M. Bloomstein
;
V. Liberman
;
M. Rothschild
;
N.N. Efremow
;
D.E. Hardy
;
S.T. Palmacci
会议名称:
《Optical Microlithography XIV》
|
2001年
13.
Current status of Nikon's F2 exposure tool development
机译:
尼康F2曝光工具开发的现状
作者:
Naomasa Shiraishi
;
Soichi Owa
;
Yasuhiro Omura
;
Takashi Aoki
;
Yukako Matsumoto
;
Masato Hatasawa
;
Takashi Mori
;
Issei Tanaka
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
F2-lithography;
projection optics;
AR-coatings;
gas purging;
14.
Doubly exposed patterning using mutually one-pitch step shifted alternating phase shift masks
机译:
使用相互一间距步移交替相移掩模的双曝光图案
作者:
Sung-Woo Lee
;
Dong-Hoon Chung
;
In-Gyun Shin
;
Yong-Hoon Kim
;
Sung-Woon Choi
;
Woo-Sung Han
;
Jung-Min Sohn
会议名称:
《Optical Microlithography XIV》
|
2001年
15.
Feasibility Study of Printing Sub 100 nm with ArF Lithography
机译:
ArF光刻技术印刷100 nm以下的可行性研究
作者:
Seok-Kyun Kim
;
Jong-Gyun Hong
;
Joo-On Park
;
Tea-Jun Yoo
;
Yoon-Suk Hyun
;
Cheol-Kyu Bok
;
Ki-Soo Shin
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
sub-100 nm printing;
ArF lithography;
RET;
linearity;
ID bias;
resist thermal flow;
16.
Feasibility Study on the ArF Attenuated Phase Shift Mask for l00nm-node Lithography
机译:
100nm节点光刻的ArF衰减相移掩模的可行性研究
作者:
Sang-Sool Koo
;
Sang-Jin Kim
;
Seung-Weon Paek
;
Chang-Nam Ahn
;
Young-Mog Ham
;
Ki-Soo Shin
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
new att-PSM blanks for ArF;
total CD variation on wafer;
MEF;
direct C/H printing;
17.
High Power 193 nm Excimer Lasers for DUV Lithography
机译:
用于DUV光刻的高功率193 nm准分子激光器
作者:
Rainer Paetzel
;
Klaus Vogler
;
Hans Stephan Albrecht
;
Thomas Schroeder
;
Igor Bragin
;
Juergen Kleinschmidt
;
Wolfgang Zschocke
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
excimer laser;
microlithography;
193 nm;
ArF;
super-narrow-bandwidth;
18.
Higher NA ArF Scanning Exposure Tool on New Platform for further l00nm Technology Node
机译:
新平台上的更高NA ArF扫描曝光工具可用于进一步的100nm技术节点
作者:
Susumu Mori
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
optical microlithography;
exposure tool;
scanning tool;
ArF;
193nm;
19.
Measurement of transmittance variation of projection lenses depending on the light paths using a grating-pmhole mask
机译:
使用光栅微孔掩模测量取决于光路的投影透镜的透射率变化
作者:
Kazuya Sato
;
Soichi Inoue
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
APTV;
transmittance;
grating pinhole;
exposure tool;
photolithography;
20.
New Projection Lens System for KrF Exposure Scanning Tool
机译:
用于KrF曝光扫描工具的新型投影镜头系统
作者:
Tomoyuki Matsuyama
;
Junichi Misawa
;
Yuichi Shibazaki
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
projection lens;
aspherical surface;
wavefront aberration;
21.
Novel Si-based Composite Thin Films for 193/157 nm Attenuated Phase Shift Mask(APSM) Applications
机译:
适用于193/157 nm衰减相移掩模(APSM)应用的新型硅基复合薄膜
作者:
S. Jay Chey
;
C. R. Guarnieri
;
K. Babich
;
K. R. Pope
;
D. Goldfarb
;
M. Angelopoulos
;
K. Racette
;
M. Hibbs
;
M. L. Gibson
;
K. Kimmel
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
attenuated phase shift mask;
Si-based composite;
metal;
nitride;
193 nm lithography;
157 nm lithography;
sputter deposition;
tunable optical transmission;
22.
Patterning of Random Interconnect Using Double Exposure of Strong-Type PSMs
机译:
使用强类型PSM的两次曝光对随机互连进行图案化
作者:
Hiroshi Fukuda
;
Takuya Hagiwara
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
phase-shifting mask;
double exposure;
geometrical operation;
random pattern;
logic LSI;
23.
The MEEF Shall Inherit the Earth
机译:
MEEF将继承地球
作者:
Will Conley
;
Cesar Garza
;
Mircea Dusa
;
Robert Socha
;
Joseph Bendik
;
Chris Mack
会议名称:
《Optical Microlithography XIV》
|
2001年
24.
Alternating phase-shifting mask with reduced aberration sensitivity: Lithography considerations
机译:
降低相差灵敏度的交替相移掩模:光刻注意事项
作者:
Alfred K. Wong
;
Lars W. Liebmann
;
Antoinette F. Molless
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
optical lithography;
phase-shifting mask;
aberrations;
alternating phase-shifting mask;
enhanced alternating phase-shifting mask;
25.
Materials Design and Development of Fluoropolymers for Use as Pellicles in 157nm Photolithography
机译:
157nm光刻中用作膜片的含氟聚合物的材料设计和开发
作者:
Roger H. French
;
Joseph Gordon
;
David J. Jones
;
M. F. Lemon
;
Robert C. Wheland
;
Edward Zhang
;
Fredrick C. Zumsteg
;
Kenneth G. Sharp
;
Weiming Qiu
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
157 nm lithography;
pellicle;
fiuoropolymer;
absorbance;
radiation durability;
VUV spectroscopy;
VUV ellipsometry;
26.
157-nm Photomask Handling and Infrastructure: Requirements and Feasibility
机译:
157-nm光掩模处理和基础设施:要求和可行性
作者:
Jerry Cullins
;
Ed Muzio
会议名称:
《Optical Microlithography XIV》
|
2001年
27.
Protecting 248 ηm and 193 ηm Lithography from Airborne Molecular Contamination during Semiconductor Fabrication
机译:
在半导体制造过程中保护248ηm和193ηm光刻不受空气传播的分子污染
作者:
A. Grayfer
;
O. Kishkovich
;
D. Ruede
会议名称:
《Optical Microlithography XIV》
|
2001年
28.
Random Pattern Formation by Attenuated Non-Phase-Shift Assist Pattern Mask
机译:
衰减的非相移辅助图案掩模形成随机图案
作者:
Shuji Nakao
;
Akira Tokui
;
Kouichirou Tsujita
;
Ichirou Arimoto
;
Watara Wakamiya
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
assist pattern method;
attenuated non-phase-shift mask structure;
modified illumination;
transmission;
29.
Ring test aberration determination device lithography correlation
机译:
环形测试像差确定与器件光刻相关
作者:
Cesar M. Garza
;
Will Conley
;
Bernie Roman
;
Mike Schippers
;
Jim Foster
;
Jan Baselmans
;
Kevin Cummings
;
Donis Flagello
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
optical microlithography;
scanner;
stepper;
lens;
aberration;
zernike coefficients;
30.
SVG 157nm Lithography Technical Review
机译:
SVG 157nm光刻技术评论
作者:
T. Fahey
;
J. McClay
;
M. Hansen
;
B. Tim
;
M. Lipson
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
157nm;
optical lithography;
laser cleaning;
31.
The Lithography Process Design for 4Giga-bit DRAM of 0.31k1 with KrF
机译:
具有KrF的0.31k1 4G DRAM的光刻工艺设计
作者:
Joonsoo Park
;
Gisung Yeo
;
Insung Kim
;
Byeongsoo Kim
;
Junghyeon Lee
;
Hanku Cho
;
Jootae Moon
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
KrF;
RET;
OAI;
Att. PSM;
DRAM;
D/R;
I-D bias;
DOF;
OPC;
32.
Application of Full-chip Optical Proximity Correction to Memory Device with sub-0.10μm Design Rule in ArF Lithography
机译:
全芯片光学接近度校正在设计规则小于0.10μm的ArF光刻中的应用
作者:
Hyoung-Soon Yune
;
Hee-Bom Kim
;
Wan-Ho Kim
;
Chang-Nam Ahn
;
Young-Mog Ham
;
Ki-Soo Shin
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
lithography;
ArF;
OPC;
diffused aerial image model;
full chip OPC;
33.
ArF Imaging Modeling by Using Resist Simulation and Pattern Matching
机译:
使用抵抗仿真和模式匹配进行ArF成像建模
作者:
Mosong Cheng
;
Andrew Neureuther
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
ArF;
chemically amplified resist;
simulation;
line-end shortening;
image mismatching factor;
optimization;
34.
Behavior Of Lens Aberrations As A Function Of Wavelength On KrF and ArF Lithography Scanners
机译:
KrF和ArF光刻扫描仪上的像差行为与波长的关系
作者:
Mark Terry
;
Ivan Lalovic
;
Greg Wells
;
Adlai Smith
会议名称:
《Optical Microlithography XIV》
|
2001年
35.
CD control of low k-factor step-and-scan lithography
机译:
低k因子步进扫描光刻的CD控制
作者:
Christopher P. Ausschnitt
;
Christopher J. Progler
;
William Chu
会议名称:
《Optical Microlithography XIV》
|
2001年
36.
Controlled Contamination of Optics Under 157-nm Laser Irradiation
机译:
157 nm激光辐照下的光学受控污染
作者:
T. M. Bloomstein
;
V. Liberman
;
S. T. Palmacci
;
M. Rothschild
会议名称:
《Optical Microlithography XIV》
|
2001年
37.
Exposure Latitude Requirements for High Yield with Photon Flux-Limited Laser Sources
机译:
光子通量受限的激光源高产量的曝光纬度要求
作者:
Sean C. OBrien
;
Mark E. Mason
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
photons;
poisson;
shot-noise;
193;
157;
EUV;
exposure latitude;
yield;
defect density;
38.
Lens aberration control for fine patterning with PSM
机译:
镜头像差控制,用于使用PSM进行精细图案制作
作者:
Takehito Kudo
;
Shigeru Hirukawa
;
Toshiharu Nakashima
;
Koichi Matsumoto
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
lens aberration;
zernike polynomials;
PSM;
CD control;
39.
Mask Considerations for Manufacturing Assist Features
机译:
制造辅助功能的遮罩注意事项
作者:
Ji-Hyeon Choi
;
Won-Il Cho
;
Byeong-Soo Kim
;
Seong-Hoon Yang
;
Seong-Yong Moon
;
Sung-Woon Choi
;
Woo-Sung Han
;
Jung-Min Sohn
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
assist features;
mask manufacturing;
exposure strategy;
grid size;
inspection;
40.
Multiple-focus exposure in strong phase-shift lithography: improvement of CD-focus characteristics and CD controllability
机译:
强相移光刻中的多焦点曝光:改善CD聚焦特性和CD可控性
作者:
Masashi Fujimoto
;
Tadao Yasuzato
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
CD-focus characteristics;
CD controllability;
DOF;
isolated line;
multiple-focus exposure;
alternating phase-shift mask;
KrF lithography;
41.
Aberration Control for Advanced Step-and-Scan Systems Using Pupil Plane Engineering
机译:
使用飞机平面工程进行高级步进扫描系统的像差控制
作者:
Harry Sewell
;
Dan Cote
;
Andrew Guzman
;
Carlo Lafiandra
;
Tim ONeil
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
aberration;
pupil plane engineering;
deformable optics;
42.
Present Status of Development of Gas-Purging and Chemically-Clean Technologies at ASET
机译:
ASET吹扫和化学清洁技术的发展现状
作者:
Yasuaki Fukuda
;
Seiji Takeuchi
;
Takashi Aoki
;
Soichi Owa
;
Fumika Yoshida
;
Youichi Kawasa
;
Akira Sumitani
;
Keiji Egawa
;
Takehito Watanabe
;
Kiyoharu Nakao
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
absorption;
F2 laser;
purging;
contamination;
surface finishing;
API-MS;
GC-MS;
TDS;
43.
SCAA mask exposures and Phase Phirst design for 110nm and below
机译:
适用于110nm及以下的SCAA掩模曝光和Phase Phirst设计
作者:
Marc D. Levenson
;
Takeaki (Joe) Ebihara
;
Mikio Yamachika
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
phase shift mask;
alt-PSM;
design;
low cost;
44.
Alternating Phase Shifting Mask Application: Effect of Width and Geometry of Shifters, 3D EMF Simulation and Experimental Verification
机译:
交替相移掩模的应用:移位器的宽度和几何形状的影响,3D EMF仿真和实验验证
作者:
Armin Semmler
;
Annika Elsner
;
Roderick Koehle
;
Leonhard Mader
;
Rainer Pforr
;
Christoph Noelscher
;
Christoph Friedrich
;
Juergen Knobloch
;
Uwe Griesinger
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
alternating phase shifting mask;
3D EMF simulation;
process window;
pattern displacement;
shifter width;
asymmetry;
45.
Application of Attenuated Phase-Shifting Masks to Sub-130nm Lithography
机译:
衰减相移掩模在130nm以下光刻中的应用
作者:
Chee-Kiong Koo
;
Lay-Cheng Choo
;
Qunying Lin
;
Shyue-Seng Tan
;
Hui-Jun Lee
;
Siu-Chung Tam
;
Alex See
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
attenuated phase-shifting mask;
248nm lithography;
polysilicon gate patterning;
simulation;
off-axis illumination;
OPC;
46.
CD control for two-dimensional features in future technology nodes
机译:
未来技术节点中二维特征的CD控制
作者:
Staf Verhaegen
;
Ronald Gordon
;
Rik Jonckheere
;
Martin McCallum
;
Kurt Ronse
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
ArF;
simulations;
2D patterns;
CD control;
aberrations;
47.
High transmission attenuated PSM as a viable optical extension technique
机译:
高传输衰减的PSM作为可行的光学扩展技术
作者:
Nishrin Kachwala
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
attenuated PSM;
high transmission;
tri-tone;
sidelobe suppression techniques;
48.
Investigation of attenuated phase-shifting mask material for 157-nm lithography
机译:
用于157 nm光刻的衰减相移掩模材料的研究
作者:
Toshio Onodera
;
Takahiro Matsuo
;
Toshiro Itani
;
Hiroaki Morimoto
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
VUV lithography;
157-nm lithography;
F_2 laser;
resolution enhancement technology;
attenuated phase-shifting mask;
transparency;
irradiation durability;
49.
New generation projection optics for microlithography
机译:
新一代用于微光刻的投影光学器件
作者:
Tsuneo Kanda
;
Takashi Kato
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
130 nm-node;
optical microlithography;
projection lens;
wavefront engineering;
zernike coefficients;
diffusion length;
50.
New phase shift gratings for measuring aberrations
机译:
用于测量像差的新型相移光栅
作者:
Hiroshi Nomura
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
photolithography;
aberration;
focus;
phase shift reticle;
overlay inspection tool;
51.
A novel multiple resist patterning stacks for dual damascene interconnection and resolution-enhanced patterns
机译:
用于双镶嵌互连和分辨率提高的图案的新型多重抗蚀剂图案堆叠
作者:
I-Hsiung Huang
;
Jiunn-Ren Hwang
;
Yi-Fang Cheng
;
Kuei-Chun Hung
;
S. C. Chien
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
copper low-k dielectric dual damascene interconnect;
multiple resist patterning stacks;
gap filling;
resolution enhance technology;
negative tone resist;
52.
Optical Design Forms for DUVVUV Microlithographic Processes
机译:
DUV&VUV微光刻工艺的光学设计表格
作者:
James Webb
;
Julie Bentley
;
Paul Michaloski
;
Anthony Phillips
;
Ted Tienvieri
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
lenses;
248nm;
193nm;
157nm;
refractive;
reflective;
chromatic;
catadioptric;
newtonian;
obscuration;
53.
Patterning 0.1 μm device by using hybrid PSM
机译:
使用混合PSM对0.1μm器件进行图案化
作者:
Chungwei Hsu
;
Ron Chou
;
Troy Wang
;
C.C. Liao
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
phase shifting mask (PSM);
alternating PSM;
attenuated PSM;
transmission;
resolution;
proximity effect;
54.
Technology in the Internet Era
机译:
互联网时代的技术
作者:
Dennis D. Buss
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
internet;
IC;
DSP;
analog;
scaling;
system-on-a-chip;
55.
Topography effects and wave aberrations in advanced PSM-technology
机译:
先进的PSM技术中的地形效应和波像差
作者:
Andreas Erdmann
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
lithography modeling;
phase shift mask;
rigorous diffraction;
wave aberration;
56.
Application of 3D EMF Simulation for Development and Optimization of Alternating Phase Shifting Masks
机译:
3D EMF仿真在交替相移掩模开发和优化中的应用
作者:
Armin Semmler
;
Leonhard Mader
;
Annika Elsner
;
Roderick Koehle
;
Uwe Griesinger
;
Christoph Noelscher
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
alternating phase shifting mask;
3D EMF simulation;
mask errors;
intensity and phase balancing;
57.
ArF Lithography Options for l00nm Technologies
机译:
100nm技术的ArF光刻选项
作者:
G. Vandenberghe
;
Y.-C. Kim
;
C. Delvaux
;
K. Lucas
;
S.-J. Choi
;
M. Ercken
;
K. Ronse
;
B. Vleeming
会议名称:
《Optical Microlithography XIV》
|
2001年
58.
Evaluation of 3D Alternating PSM structures using Mask Topography Simulation and AIMS at λ=193nm
机译:
使用掩模形貌模拟和AIMS在λ= 193nm处评估3D交替PSM结构
作者:
Cyrus E. Tabery
;
Christopher A. Spence
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
phase error;
phase shifting mask;
mask topography;
lithography simulation;
image balance;
PSM;
OPC;
EMF;
ArF MSM;
AIMS;
59.
Impact of Acid/Quencher Behavior on Lithography Performance
机译:
酸/猝灭剂行为对光刻性能的影响
作者:
Hiroshi Fukuda
;
Keiko Hattori
;
Takuya Hagiwara
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
chemically amplified resist;
acid;
quencher;
diffusion;
image;
simulation;
proximity effect;
mask error factor;
60.
Innovative Imaging of Ultra-fine Line without Using Any Strong RET
机译:
不使用任何强RET的超细线的创新成像
作者:
Shuji Nakao
;
Kouichirou Narimatsu
;
Tadashi Miyagi
;
Sachiko Ogawa
;
Naohisa Tamada
;
Akihiro Nakae
;
Akira Tokui
;
Kouichrou Tsujita
;
Ichirou Arimoto
;
Wataru Wakamiya
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
sub-100 nm isolated line pattern;
pair of bright lines;
high exposure level;
no strong RET;
low MEF;
61.
Model Based OPC for 1st Generation 193nm Lithography
机译:
用于第一代193nm光刻的基于模型的OPC
作者:
Kevin Lucas
;
James Word
;
Geert Vandenberghe
;
Staf Verhaegen
;
Rik Jonckheere
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
193nm lithography;
model-based OPC;
130nm device generation;
62.
A Method to Predict CD Variation Caused by Dynamic Scanning Focus Errors
机译:
一种动态聚焦误差引起的CD变化的预测方法
作者:
Tsuneyuki Hagiwara
;
Hideo Mizutani
;
Shinichi Okita
;
Naoto Kondo
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
across the field CD variation;
scanner;
isolated line;
resist process;
synchronization error;
63.
Optimizing Style Options for Sub-Resolution Assist Features
机译:
优化子分辨率辅助功能的样式选项
作者:
Lars W. Liebmann
;
James A. Bruce
;
William Chu
;
Michael Cross
;
Ioana C.Graur
;
Joshua J. Krueger
;
William C. Leipold
;
Scott M. Mansfield
;
Anne E. McGuire
;
Dianne L. Sundling
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
sub-resolution assist features;
scattering bars;
style options;
64.
Patterning 80-nm Gates Using 248-nm Lithography: An Approach for 0.13 micron VLSI Manufacturing
机译:
使用248-nm光刻技术对80-nm栅极进行图案化:0.13微米VLSI制造的一种方法
作者:
Chien Ming Wang
;
Chien Wen Lai
;
Jason Huang
;
Hua-Yu Liu
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
optical lithography;
alternating phase-shifting mask;
optical proximity effect correction;
mask error enhancement factor (MEEF);
line width variation;
CD control;
65.
Printing 130nm DRAM Isolation Pattern: Zernike Correlation and Tool Improvement
机译:
打印130nm DRAM隔离图案:Zernike相关性和工具改进
作者:
Jan van Schoot
;
Nakgeuon Seong
;
Bernd Geh
;
Martin Burkhardt
;
Paul Graeupner
;
Gerd Reisinger
;
Rian Rubingh
;
Manfred Suddendorf
;
Jo Finders
;
Erwin Rikkers
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
optical lithography;
lenses;
aberrations;
DRAM;
ARTEMIS~(TM);
circle of litho;
66.
ArF Lithography for printing 100nm gates on low volume ASIC devices: CD budget issues related to various binary mask-making processes
机译:
ArF光刻技术,用于在小批量ASIC器件上印刷100nm栅极:与各种二进制掩模制造工艺有关的CD预算问题
作者:
Y.Trouiller
;
G.Fanget
;
C.Miramond
;
Y.Rody
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
mask;
193nm lithography;
ArF;
photolithography;
67.
Can DUV take us below 100 nm?
机译:
DUV能否将我们带到100 nm以下?
作者:
Jo Finders
;
Louis Jorritsma
;
Mark Eurlings
;
Richard Moerman
;
Henk van Greevenbroek
;
Jan van Schoot
;
Donis Flagello
;
Robert Socha
;
Thomas Stammler
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
low- k_1 imaging;
illumination modes;
aberration sensitivity;
68.
New scanners for the 100 nm era
机译:
面向100 nm时代的新型扫描仪
作者:
Kazunori Iwamoto
;
Fumio Sakai
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
step and scan exposure tools;
ultra-low aberration projection lens;
overlay accuracy;
synchronous scanning accuracy;
CD uniformity;
platform;
69.
100-nm Node Lithography With KrF ?
机译:
采用KrF的100纳米节点光刻技术
作者:
M. Fritze
;
B. Tyrrell
;
D. Astolfi
;
D. Yost
;
P. Davis
;
B. Wheeler
;
R. Mallen
;
J. Jarmolowicz
;
H.Y. Liu
;
M. Ma
;
S. Cann
;
D. Chan
;
P. Rhyins
;
C. Carney
;
J. Ferri
;
B.A. Blachowicz
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
optical lithography;
phase-shift mask;
proximity effect correction;
70.
Aerial image measurement methods for fast aberration set-up and illumination pupil verification
机译:
用于快速像差设置和照明光瞳验证的航拍测量方法
作者:
Hans van der Laan
;
Marcel Dierichs
;
Henk van Greevenbroek
;
Elaine McCoo
;
Fred Stoffels
;
Richard Pongers
;
Rob Willekers
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
optical lithography;
aberration;
zernike;
pupil;
partial coherence;
illumination;
lens;
aerial image;
71.
PERFORMANCE RESULTS OF A NEW GENERATION OF 300 mm LITHOGRAPHY SYSTEMS
机译:
新一代300 mm光刻系统的性能结果
作者:
Boudewijn Sluijk
;
Tom Castenmiller
;
Richard du Croo de Jongh
;
Hans Jasper
;
Theo Modderman
;
Leon Levasier
;
Erik Loopstra
;
Guustaaf Savenije
;
Marc Boonman
;
Harry Cox
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
300mm wafers;
photolithography;
advanced imaging;
overlay;
productivity;
focusing;
alignment;
stage design;
72.
Simplified Models for Edge Transitions in Rigorous Mask Modeling
机译:
严格蒙版建模中边缘过渡的简化模型
作者:
Konstantinos Adam
;
Andrew R. Neureuther
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
phase-shift mask modeling;
diffraction order;
complex mask transmission function;
new method for scalar imaging;
cross-talk in phase-shift masks;
fourier spectrum;
73.
ArF Step Scan System with 0.75 NA for the 0.10 μm node
机译:
用于0.10μm节点的0.75 NA的ArF步进和扫描系统
作者:
Bert Vleeming
;
Barbra Heskamp
;
Hans Bakker
;
Leon Verstappen
;
Jo Finders
;
Jan Stoeten
;
Rainer Boerret
;
Oliver Roempp
会议名称:
《Optical Microlithography XIV》
|
2001年
74.
Binary Halftone Chromeless PSM Technology for λ/4 Optical Lithography
机译:
用于λ/ 4光学光刻的二元半色调无铬PSM技术
作者:
J. Fung Chen
;
John S. Petersen
;
Robert Socha
;
Thomas Laidig
;
Kurt E.Wampler
;
Kent Nakagawa
;
Greg Hughes
;
Susan MacDonald
;
Waiman Ng
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
chromeless PSM;
CLM;
high NA;
off-axis illumination;
OAI;
QUASAR;
OPC;
PSM;
halftone;
proximity effect;
75.
Correction for etch proximity: new models and applications
机译:
蚀刻接近度校正:新模型和应用
作者:
Yuri Granik
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
etching;
OPC;
RET;
etch bias;
etch modeling;
CD control;
microloading;
76.
High Numerical Aperture 193 nm Exposure Tool
机译:
高数值孔径193 nm曝光工具
作者:
Harry Sewell
;
Daniel Cote
;
David Williamson
;
Mark Oskotsky
;
Lev Sakin
;
Tim ONeil
;
John Zimmerman
;
Richard Zimmerman
;
Mike Nelson
;
Christopher Mason
;
David Ahouse
;
Hilary Harrold
;
Philip Lamastra
;
David Callan
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
ArF exposure tool system;
high numerical aperture catadioptric;
77.
Multiple Pitch Transmission and Phase Analysis of Six Types of Strong Phase-Shifting Masks
机译:
六种强相移掩模的多节距传输和相位分析
作者:
David J. Gerold
;
John S. Petersen
;
Marc. D. Levenson
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
alternating PSM;
phase shift mask;
SCAA;
dual trenchl;
EMF simulation;
proMAX;
PROLITH;
TEMPEST;
78.
Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars
机译:
相互优化的分辨率增强技术:照明,APSM,辅助功能OPC和灰色条
作者:
Bruce W. Smith
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
resolution enhancement techniques;
spatial frequency;
OPC;
OAI;
PSM;
gray bars;
79.
Optimum Mask and Source Patterns to Print a Given Shape
机译:
最佳遮罩和光源图案可打印给定形状
作者:
Alan E. Rosenbluth
;
Scott Bukofsky
;
Michael Hibbs
;
Kafai Lai
;
Antoinette Molless
;
Rama N. Singh
;
Alfred Wong
会议名称:
《Optical Microlithography XIV》
|
2001年
关键词:
off-axis illumination;
source optimization;
RET;
OPC;
global optimization;
80.
Trench Pattern Lithography for 0.13-m and 0.l0-m Logic Devices at 248-nm and 193-nm Wavelengths
机译:
适用于0.13 m和0.l0 m逻辑器件的沟槽图案光刻,波长为248 nm和193 nm
作者:
Ying-Ying Wang
;
Hua-Tai Lin
;
Shinn-Sheng Yu
;
Chun-Kuang Chen
;
Yao-Ching Ku
;
Anthony Yen
;
Burn Jeng Lin
会议名称:
《Optical Microlithography XIV》
|
2001年
意见反馈
回到顶部
回到首页