掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Electrical Performance of Electronic Packaging, 2004
Electrical Performance of Electronic Packaging, 2004
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
116
条结果
1.
2.5 Gbps Infiniband copper cable interconnect compliance
机译:
2.5 Gbps Infiniband铜缆互连标准
作者:
Birkeli
;
I.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
copper;
cables (electric);
printed circuits;
printed circuit design;
electronics packaging;
interconnections;
Infiniband copper cable;
board designer;
board electrical interconnect;
high volume packaging;
standard FR4 PCB technology;
2.5 Gbit/s;
Cu;
2.
A comparison of performance potentials of single ended vs. differential signaling
机译:
单端和差分信令性能潜力的比较
作者:
Zhaoqing Chen
;
Katopis
;
G.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
coupled transmission lines;
integrated circuit interconnections;
integrated circuit packaging;
single ended signaling;
differential signaling;
first level packaging structure;
eye diagrams;
circuit simulators;
multicoupled transmission line models;
frequency dependent RLGC parameters;
10 to 40 Gbit/s;
12 to 20 mm;
3.
A fast evaluation of power delivery system input impedance of printed circuit boards with decoupling capacitors
机译:
带去耦电容器的印刷电路板功率传输系统输入阻抗的快速评估
作者:
Jin Zhao
;
Mandhana
;
O.P.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
power supply circuits;
impedance matrix;
printed circuits;
electric admittance;
power capacitors;
electromagnetic fields;
power delivery system;
input impedance evaluation methodology;
printed circuit boards;
decoupling capacitor placement evaluation;
electrical network;
admittance matrix property;
admittance matrix methodology;
impedance matrix;
electromagnetic field software;
4.
A global router for system-on-package targeting layer and crosstalk minimization
机译:
用于系统级封装目标层和串扰最小化的全局路由器
作者:
Minz
;
J.R.
;
Sung Kyu Lim
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
electronics packaging;
crosstalk;
minimisation;
divide and conquer methods;
network routing;
global router;
system on package;
crosstalk minimization;
3D global routing problem;
divide and conquer algorithm;
2D problems;
5.
A method and tool set for on-chip power noise and jitter estimation
机译:
一种片上功率噪声和抖动估计的方法和工具集
作者:
Evans
;
R.J.
;
Carlsen
;
K.
;
Joshi
;
A.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
application specific integrated circuits;
integrated circuit noise;
jitter;
integrated circuit packaging;
on-chip power noise estimation;
package jitter noise estimation;
on-die noise estimation;
standard cell ASIC;
core cell estimation;
core voltage noise estimation;
voltage noise waveforms;
ground noise waveforms;
voltage rail inputs;
6.
A model for on-chip decoupling capacitor effectiveness including gate leakage effects
机译:
包含栅极泄漏效应的片上去耦电容器效率的模型
作者:
Rius
;
J.
;
Meijer
;
M.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
MOS capacitors;
MOS digital integrated circuits;
leakage currents;
integrated circuit modelling;
gate leakage effects;
on-chip decoupling capacitor model;
gate tunnelling current;
MOS capacitor;
distributed decoupling capacitor model;
lumped decoupling capacitor model;
7.
A monolithic, compact balun/matching network for SiP applications
机译:
适用于SiP应用的单片式紧凑型巴伦/匹配网络
作者:
Frye
;
R.C.
;
Badakere
;
G.
;
Lin
;
Y.
;
Chelvam
;
P.
会议名称:
《》
|
2004年
关键词:
thin film circuits;
integrated circuit design;
radiofrequency integrated circuits;
monolithic integrated circuits;
impedance matching;
inductors;
baluns;
circuit tuning;
capacitors;
Bluetooth;
integrated circuit packaging;
compact matching network;
compact balun network;
system-in-package applications;
circuit design;
thin film integrated passive device technology;
resonant coupled inductors;
capacitor tuning;
monolithic network;
impedance matching;
Bluetooth;
2.4 to 2.5 GHz;
SiP;
8.
A new modeling methodology for passive components based on black-box augmentation combined with equivalent circuit perturbation
机译:
基于黑盒增强与等效电路扰动相结合的无源元件的新建模方法
作者:
Kolstad
;
J.
;
Blevins
;
C.
;
Dunn
;
J.
;
Weisshaar
;
A.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
circuit analysis computing;
circuit CAD;
equivalent circuits;
passive networks;
rational functions;
polynomials;
black box modeling methodology;
passive components;
black box augmentation;
equivalent circuit perturbation;
broadband CAD modeling;
equivalent circuit model;
black box network;
rational polynomial functions;
equivalent circuit component;
circuit design;
9.
A robust numerical pole-extraction algorithm for stratified medium
机译:
分层介质的鲁棒数值极点提取算法
作者:
Pan
;
Y.C.
;
Chew
;
W.C.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
VLSI;
poles and zeros;
integrated circuit interconnections;
Green's function methods;
integral equations;
numerical pole extraction algorithm;
VLSI technology;
integral equation based algorithm;
3D complex interconnect structure;
arbitrary frequency;
stratified medium;
multilayer Green's function;
10.
A robust physical model extraction method for a memory device with differential routed package traces
机译:
具有差分布线封装走线的存储设备的稳健物理模型提取方法
作者:
Shi
;
H.
;
Beyene
;
W.
;
Yuan
;
X.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
transmission lines;
S-parameters;
time-domain reflectometry;
packaging;
network analysers;
minimisation;
silicon parasitic model extraction method;
memory device;
differential routed package traces;
voltage bias;
VNA;
vector network analyzer;
differential TDR;
differential time domain reflectometry;
standard two port S-parameters;
mixed mode S-parameters;
package impedance;
on-die termination resistance;
robust physical model extraction method;
two port S-parameters;
even mode S-parameters;
odd mode S-parameters;
minimization;
optimization;
3.2 Gbit/s;
11.
A surface equivalence-based method to enable rapid design and layout iterations of coupled electromagnetic components in integrated packages
机译:
基于表面等效性的方法,可实现集成封装中耦合电磁组件的快速设计和布局迭代
作者:
Chakraborty
;
S.
;
Jandhyala
;
V.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
circuit layout;
electronics packaging;
electromagnetic coupling;
network synthesis;
iterative methods;
method of moments;
inductors;
surface equivalence based moment method;
design iterations;
layout iterations;
coupled electromagnetic components;
integrated packages;
layout component design;
electromagnetic structures;
inductors;
12.
Accurate analysis of multi-layered signal and power distributions using the fringe RLGC models
机译:
使用条纹RLGC模型精确分析多层信号和功率分布
作者:
Ching-Chao Huang
;
Clement Luk
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
power distribution;
signal processing;
S-parameters;
printed circuits;
integrated circuit layout;
integrated circuit packaging;
multilayered signal analysis;
power distributions;
fringe RLGC models;
broadband S parameters;
thin signal traces;
multilayered package;
PCB environments;
3D full wave field solver;
ultrafast design iterations;
resonance frequencies;
decoupling capacitors;
integrated circuit layout;
13.
Analysis of lossy packaging parasitics for common emitter LNA in system-on-package
机译:
系统级封装中常见发射极LNA的有损封装寄生效应分析
作者:
Xinzhong Duo
;
Li-Rong Zheng
;
Ismail
;
M.
;
Hannu Tenhunen
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
integrated circuit packaging;
system-on-chip;
radiofrequency amplifiers;
VLSI;
radiofrequency integrated circuits;
integrated circuit design;
integrated circuit noise;
lossy packaging parasitics;
common emitter LNA;
system-on-package;
VLSI;
packaging technologies;
RF system;
SoC;
analytical equations;
noise figure;
common emitter low noise amplifiers;
electrostatic discharge;
14.
Application of domain decomposition to the finite-element electromagnetic modeling of planar multi-layered interconnect structures integrated passives
机译:
区域分解在平面多层互连结构和集成无源元件的有限元电磁建模中的应用
作者:
Hong Wu
;
Cangellaris
;
A.C.
;
An-Yu Kuo
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
integrated circuit modelling;
integrated circuit interconnections;
high-speed integrated circuits;
digital integrated circuits;
mixed analogue-digital integrated circuits;
integrated circuit packaging;
circuit complexity;
finite element analysis;
domain decomposition methodology;
finite element electromagnetic modeling;
planar multilayered interconnect structures;
integrated passives;
broadband electromagnetic modeling;
modeling complexity;
multilayer signal wiring environment;
electronics packages;
high speed integrated circuits;
digital integrated circuits;
mixed signal integrated circuits;
15.
Application of the enlarged cell method (ECM) to EMI/EMC problems
机译:
扩大单元法(ECM)在EMI / EMC问题中的应用
作者:
Tian Xiao
;
Qing Huo Liu
;
Jiangqi He
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
conductors (electric);
electromagnetic compatibility;
electromagnetic interference;
finite difference time-domain analysis;
microcomputers;
enlarged cell method;
large scale EMC problem;
large scale EMI problem;
conformal FDTD methods;
finite difference time domain method;
staircasing error reduction;
conductor boundary;
personal computer;
16.
Are on-chip power-ground planes really needed? A signal integrity perspective
机译:
真的需要片上电源接地平面吗?信号完整性的观点
作者:
Elfadel
;
I.M.
;
Feldmann
;
P.
;
Chen
;
H.
;
Ostapko
;
D.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
microprocessor chips;
system buses;
low-power electronics;
power distribution;
on-chip power-ground planes;
signal integrity;
on-chip bus characterization;
on-chip power distribution system;
power supply system;
grid based system;
Cu BEOL stack;
electromagnetic couplings;
driving circuitry;
receiver circuitry;
17.
Block partitioned Gauss-Seidel PEEC solver accelerated by QR-based coupling matrix compression techniques
机译:
基于QR的耦合矩阵压缩技术加速的块划分高斯-赛德尔PEEC求解器
作者:
Ruehli
;
A.
;
Gope
;
D.
;
Jandhyala
;
V.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
integrated circuit modelling;
equivalent circuits;
electromagnetic coupling;
SPICE;
iterative methods;
integral equations;
matrix algebra;
partial element equivalent circuit solver;
QR based coupling matrix compression;
electromagnetic integral equation solvers;
circuit modeling;
mutual couplings;
lumped circuits;
Gauss-Seidel relaxation solver;
MNA matrix;
frequency domain analysis;
SPICE;
LU solvers;
circuit based block partitioning method;
waveform relaxation methods;
circuit coupling thinning method;
convergence properties;
18.
Broadband characterization of miniaturized on-chip differential circuits using G-S-G probe
机译:
使用G-S-G探头的小型片上差分电路的宽带表征
作者:
Hung-Tat Tso
;
Chien-Nan Kuo
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
coplanar waveguides;
strip lines;
coplanar transmission lines;
impedance matching;
waveguide couplers;
broadband characterization;
miniaturized on-chip differential circuits;
ground-signal-ground probe;
coplanar strip line test circuits;
coaxial connector;
impedance matching;
field pattern matching;
shunt connection;
silicon based filter;
coplanar waveguides;
strip lines;
coplanar transmission lines;
waveguide connector;
on-chip filter;
40 GHz;
19.
Can we ever send 25-100 Gb/sec signals over 24' line length of printed circuit board and still have mVolt signal at the receiver?
机译:
我们能否在24英寸线路长度的印刷电路板上发送25-100 Gb / sec的信号,而在接收器上仍然有mVolt信号?
作者:
Bhattacharyya
;
B.K.
;
Runstein
;
M.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
printed circuits;
intersymbol interference;
white noise;
telecommunication signalling;
telecommunication channels;
printed circuit board;
point to point signaling scheme;
transmitter;
receiver;
ISI noise;
white noise;
sockets;
back plane connectors;
mVolt solution;
25 to 100 Gbit/s;
24 inch;
20.
Characterization and hardware correlation of multi-gigahertz parallel bus with transmit pre-emphasis equalization
机译:
具有发射预加重均衡的多千兆赫并行总线的表征和硬件相关性
作者:
Beyene
;
W.T.
;
Torres
;
A.
;
Cheng
;
N.
;
Vaidyanath
;
A.
;
Kizer
;
J.
;
Nguyen
;
H.
;
Yuan
;
C.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
system buses;
equalisers;
interconnections;
electronics packaging;
correlation methods;
time-domain analysis;
frequency-domain analysis;
hardware correlation method;
multigigahertz data rate operation;
parallel bus equalization;
band limited channels;
chip-to-chip communication systems;
simulated eye diagrams;
equalization coefficients;
electronics packaging;
multigigahertz parallel bus;
frequency domains;
time domain;
8 GHz;
21.
Characterization of co-planar silicon transmission lines with and without slow-wave effect
机译:
具有和不具有慢波效应的共面硅传输线的特性
作者:
Kim
;
W.
;
Swaminathan
;
M.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
silicon;
elemental semiconductors;
coplanar transmission lines;
network analysers;
wave propagation;
coplanar silicon transmission lines;
silicon substrates;
slow wave mode;
VNA measurements;
package transmission lines;
characteristic impedance;
propagation constant;
nonphysical RLGC models;
slow wave effect;
Si;
22.
Characterization of current signatures for microprocessors
机译:
微处理器当前签名的特征
作者:
Weekly
;
R.
;
Sungjun Chun
;
OConnell
;
F.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
microprocessor chips;
IBM computers;
network servers;
Unix;
computer power supplies;
distribution networks;
current signature characterization;
microprocessors;
general purpose server;
IBM POWER5/spl trade/ processor;
IBM AIX/spl trade/ operating system;
power delivery infrastructure;
decoupling infrastructure;
processor circuits;
power domain voltage noise;
23.
Circuit modeling and noise reduction for bent differential transmission lines
机译:
弯曲差分传输线的电路建模和降噪
作者:
Guang-Hwa Shiue
;
Wei-De Guo
;
Li-Shang Liu
;
Ruey-Beei Wu
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
S-parameters;
equivalent circuits;
interference suppression;
capacitance;
coupled transmission lines;
digital circuits;
equivalent circuit extraction;
noise reduction;
coupled differential transmission lines;
differential signaling;
multigigabit digital applications;
noise generation;
common mode noise immunity;
full wave S-parameters;
shunt compensation capacitances;
signal integrity effects;
high speed digital circuit;
receiver;
circuit modeling;
circuit simulation;
24.
Compact 2D FDFD based full wave method for the extraction of RLGC parameters of general guided wave structures
机译:
基于紧凑二维FDFD的全波方法提取通用导波结构的RLGC参数
作者:
Orhanovic
;
N.
;
Divekar
;
D.
;
Matsui
;
N.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
waveguide theory;
finite difference methods;
frequency-domain analysis;
SPICE;
parameter estimation;
Maxwell equations;
eigenvalues and eigenfunctions;
2D finite difference frequency domain method;
2D full wave method;
equivalent RLGC parameter extraction;
frequency dependent RLGC parameters;
dispersive guided wave structures;
dissipative guided wave structures;
modal field computation;
propagation constant computation;
SPICE modeling;
discretized Maxwell equations;
eigenvalue problem;
25.
Compact broadband resistance model for microstrip transmission lines
机译:
微带传输线的紧凑型宽带电阻模型
作者:
Balachandran
;
J.
;
Brebels
;
S.
;
Carchon
;
G.
;
de Raedt
;
W.
;
Nauwelaers
;
B.
;
Beyne
;
E.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
microstrip lines;
transmission line theory;
electric resistance;
compact broadband resistance model;
microstrip transmission lines;
nondiscontinuous analytical function;
microwave frequency;
26.
Companion models of measured/calculated networks via modified cosine series
机译:
通过修正的余弦级数来测量/计算网络的同伴模型
作者:
Tanji
;
Y.
;
Asai
;
H.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
lumped parameter networks;
transient analysis;
numerical stability;
frequency-domain analysis;
time-domain analysis;
discrete Fourier transforms;
Fourier series;
companion models;
measured networks;
calculated networks;
modified cosine series;
aliasing free numerical convolution method;
electromagnetic analysis;
numerical robustness;
transient analysis;
lumped networks;
frequency domain conversion method;
time domain conversion method;
27.
Comparison between flat spiral and serpentine differential delay lines on TDR and TDT
机译:
TDR和TDT上扁平螺旋和蛇形差分延迟线的比较
作者:
Wei-De Guo
;
Guang-Hwa Shiue
;
Ruey-Beei Wu
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
time-domain reflectometry;
delay lines;
crosstalk;
SPICE;
waveform analysis;
flat spiral differential delay lines;
serpentine differential signaling delay lines;
TDR waveforms;
TDT waveforms;
single delay lines;
crosstalk;
signal integrity;
TDT eye diagrams;
serpentine layout design;
flat spiral layout design;
noise margin;
signal waveforms;
HSPICE simulation;
28.
Crosstalk-insensitive layout generation using artificial neural networks
机译:
使用人工神经网络的串扰不敏感布局生成
作者:
Ilumoka
;
A.
;
Tsal Chen
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
neural nets;
integrated circuit modelling;
integrated circuit noise;
integrated circuit layout;
integrated circuit interconnections;
SPICE;
circuit optimisation;
crosstalk;
gradient methods;
artificial neural networks;
crosstalk insensitive layout generation;
crosstalk minimization;
integrated circuit interconnect layout;
integrated circuit models;
MOSIS fabrication;
crosstalk noise reduction;
interconnect layout geometry;
gradient based optimization;
SPICE parameters;
29.
Device macromodel impact on data link performance assessment
机译:
设备宏模型对数据链路性能评估的影响
作者:
Stievano
;
I.S.
;
Maio
;
I.A.
;
Canavero
;
F.G.
;
Siviero
;
C.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
data communication;
telecommunication links;
integrated circuit interconnections;
integrated circuit modelling;
circuit simulation;
receivers;
data link performance assessment;
interconnecting system;
receiver macromodel;
transmission chain;
simulated eye diagrams;
very long bit sequences;
integrated circuit modelling;
30.
Efficient and accurate model order reduction of interconnect networks in the presence of incident fields
机译:
在存在入射场的情况下有效,准确地减少互连网络的模型顺序
作者:
Roseanu
;
T.S.
;
Khazaka
;
R.
;
Gunupudi
;
P.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
electromagnetic interference;
high-frequency transmission lines;
interconnections;
transmission line theory;
reduced order systems;
projection based model order reduction;
interconnect networks;
incident fields;
antennas;
signal degradation;
EMI analysis;
electromagnetic interference analysis;
high speed interconnects;
transmission line networks;
31.
Efficient generation of closed-form expressions for interconnect networks using parameterized model-reduction techniques
机译:
使用参数化模型约简技术有效生成互连网络的闭式表达式
作者:
Jerome
;
A.
;
Gunupudi
;
P.
;
Khazaka
;
R.
;
Nakhla
;
M.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
transmission line theory;
lumped parameter networks;
interconnections;
approximation theory;
circuit simulation;
closed form expressions;
interconnect networks;
parameterized model reduction techniques;
interconnect effects;
circuit simulation;
rational approximation algorithm;
transmission line networks;
32.
Efficient SPICE macromodel for EMI analysis of electronic packages and high-speed interconnects
机译:
用于电子封装和高速互连的EMI分析的高效SPICE宏模型
作者:
Shinh
;
G.
;
Nakhla
;
N.
;
Achar
;
R.
;
Nakhla
;
M.
;
Dounavis
;
A.
;
Erdin
;
I.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
multiconductor transmission lines;
integrated circuit interconnections;
integrated circuit packaging;
transmission line theory;
transient analysis;
time-domain analysis;
SPICE;
electromagnetic interference;
SPICE macromodel;
EMI analysis;
electronic packages;
high speed interconnects;
transient analysis;
lossy multiconductor transmission line interconnects;
electromagnetic interference;
time domain analysis;
delay extraction;
closed form representation;
passive macromodels;
transmission line networks;
33.
Electrical validation of source synchronous chip-chip server links at 6.25 Gb/s
机译:
源同步芯片-芯片服务器链路以6.25 Gb / s的速度进行电气验证
作者:
Canagasaby
;
K.S.
;
Chaudhuri
;
S.
;
Dabral
;
S.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
telecommunication links;
correlation theory;
printed circuit accessories;
network servers;
prediction theory;
transmitters;
jitter;
equalisers;
electrical validation;
source synchronous backplane links;
chip-chip server links;
correlation methodology;
link model predictions;
on-die scope eye;
transmitter equalizer;
jitter;
interconnect losses;
impedance profile;
data pad eye;
sensitivity analysis;
6.25 Gbit/s;
34.
Error factors in transmission line analysis with 3-dimensional electromagnetic field simulations
机译:
三维电磁场仿真中传输线分析中的误差因素
作者:
Kubota
;
H.
;
Watanabe
;
T.
;
Araki
;
K.
;
Asai
;
H.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
printed circuits;
S-parameters;
finite difference time-domain analysis;
transmission line theory;
electromagnetic field theory;
error factors;
transmission signal line analysis;
3-dimensional electromagnetic field simulation;
FDTD simulation;
finite difference time domain simulation;
S-parameters;
printed circuit boards;
characteristic impedance estimation;
finite difference method;
signal integrity verification;
35.
Expedient methodology for the quantification of interconnect-induced semiconductor substrate noise
机译:
量化互连感应半导体衬底噪声的便捷方法
作者:
Chung
;
I.J.
;
Cangellaris
;
A.C.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
integrated circuit modelling;
substrates;
elemental semiconductors;
integrated circuit noise;
semiconductor device noise;
integrated circuit interconnections;
system-on-chip;
SPICE;
interconnect induced noise;
semiconductor substrate noise;
two dimensional interconnects;
SPICE-like simulators;
three dimensional field solver;
on-chip signal integrity;
Si;
36.
Extraction of frequency dependent characteristic transmission line parameters up to 20 GHz for global wiring in 90 nm SOI/Cu technology
机译:
为90 nm SOI / Cu技术中的全局布线提取高达20 GHz的频率相关的特性传输线参数
作者:
Winkel
;
T.-M.
;
Ktata
;
M.F.
;
Ludwig
;
T.
;
Grabinski
;
H.
;
Klink
;
E.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
S-parameters;
silicon-on-insulator;
elemental semiconductors;
copper;
finite element analysis;
CMOS integrated circuits;
transmission line theory;
frequency dependent characteristics;
transmission line parameters;
global wiring;
S-parameter measurements;
signal test lines;
copper metal layer test chip;
SOI-Cu technology;
propagation constant;
complex characteristic impedance;
FEM simulations;
CMOS technology;
20 GHz;
90 nm;
Si-SiO/sub 2/-Cu;
37.
Fast capacitance extraction using inexact factorization
机译:
使用不精确因子分解快速提取电容
作者:
Shu Yan
;
Sarin
;
V.
;
Weiping Shi
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
capacitance;
linear systems;
boundary-elements methods;
matrix decomposition;
sparse matrices;
iterative methods;
vectors;
electrical engineering computing;
fast capacitance extraction;
inexact factorization;
BEM;
boundary element method;
iterative solvers;
sparse formulation;
sparse system;
vectors;
submatrix;
conductors;
FastCap algorithm;
linear system;
38.
Fast passivity enforcement for large and sparse macromodels
机译:
大型和稀疏宏模型的快速被动实施
作者:
Grivet-Talocia
;
S.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
integrated circuit modelling;
integrated circuit testing;
integrated circuit interconnections;
high-speed integrated circuits;
optimisation;
sparse matrices;
iterative methods;
eigenvalues and eigenfunctions;
passivity enforcement;
large macromodels;
sparse macromodels;
passivity testing;
linear macromodels;
sparse structure;
optimization;
imaginary eigenvalues;
Hamiltonian matrix;
iterative methods;
integrated circuit interconnections;
high-speed integrated circuits;
39.
High-end server system partitioning for cost reduction
机译:
高端服务器系统分区以降低成本
作者:
Katopis
;
G.A.
;
Zhou
;
T.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
cost reduction;
multiprocessing systems;
integrated circuit yield;
integrated circuit design;
network servers;
integer programming;
computer networks;
minimisation;
integrated circuit economics;
microprocessor chips;
high end server system partitioning;
cost reduction;
finite dimension integer programming;
multicore chip design;
symmetric multiprocessing node;
minimization;
processor chip yields;
40.
How detrimental could a via be?
机译:
过孔有多有害?
作者:
Lei Shan
;
Young Kwark
;
Dreps
;
D.
;
Trewhella
;
J.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
printed circuit design;
printed circuits;
interconnections;
notch filters;
vertical interconnect design;
printed circuit boards;
system data rates;
system link performance;
test structures;
vias management;
notch filters;
41.
IBM BladeCenter system electrical packaging design challenges
机译:
IBM BladeCenter系统电气包装设计挑战
作者:
Patel
;
P.
;
Hughes
;
J.
;
Herman
;
B.
;
Cases
;
M.
;
de Araujo
;
D.N.
;
Pham
;
N.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
thermal management (packaging);
local area networks;
printed circuit accessories;
network interfaces;
network servers;
electrical packaging design;
thermal design;
IBM BladeCenter/spl trade/ system;
serial signal interfaces;
gigabit Ethernet;
fiber channel;
Infiniband interfaces;
processor blade interconnection;
high speed cross bar switches;
printed circuit board material;
redundancy;
42.
IBM Power5 bus designs for on- and off-module connections
机译:
用于模块上和模块外连接的IBM Power5总线设计
作者:
Dreps
;
D.
;
Ferraiolo
;
F.
;
Haridass
;
A.
;
Reese
;
R.
;
Schiff
;
J.
;
Truong
;
B.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
driver circuits;
system buses;
IBM Power5 bus designs;
on-module buses;
off-module buses;
custom circuits;
data recovery methods;
signal integrity design;
hardware based verification methods;
interface register based diagnostics;
eye margin mapping;
43.
Implementation of low jitter clock distribution using chip-package hybrid interconnection
机译:
使用芯片封装混合互连实现低抖动时钟分配
作者:
Chunghyun Ryu
;
Daehyun Chung
;
Kicheol Bae
;
Jiheon Yu
;
Joungho Kim
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
chip scale packaging;
integrated circuit interconnections;
timing jitter;
clocks;
repeaters;
digital systems;
system-on-chip;
low jitter clock distribution;
chip package hybrid interconnection;
clock frequency;
digital systems;
clock signal distribution;
timing jitter;
timing margin;
on-chip interconnection lines;
signal quality;
repeaters;
power supply noise;
logic core operation;
44.
Improved method for characterizing transmission lines using frequency-domain measurements
机译:
使用频域测量来表征传输线的改进方法
作者:
Kyung Suk Oh
;
Xingchao Yuan
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
transmission lines;
microstrip lines;
frequency-domain analysis;
S-parameters;
electric impedance;
electric admittance;
dielectric losses;
transmission line parameter;
frequency domain measurements;
lossy frequency;
S-parameter measurements;
multiline method;
propagation constant;
characteristic impedance;
admittance determination;
frequency dependent conductor;
dielectric losses;
modeling errors;
resonant frequencies;
microstrip lines;
linear function;
45.
Increased feedback due to package mounting
机译:
封装安装增加了反馈
作者:
Aaen
;
P.H.
;
Pla
;
J.A.
;
Balanis
;
C.A.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
circuit analysis computing;
printed circuits;
field effect transistors;
electronics packaging;
package mounting;
discontinuity;
ground plane;
PCB thickness;
packaged transistor;
electromagnetic 2D/3D CAD tools;
inductive feedback path;
FET device;
46.
In-situ measurement of impedance of die power delivery system
机译:
裸片功率传输系统阻抗的现场测量
作者:
Kantorovich
;
I.
;
Drabkin
;
V.
;
Houghton
;
C.
;
St Laurent
;
J.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
electric impedance measurement;
computer power supplies;
Q-factor;
resistors;
system-on-chip;
integrated circuit measurement;
impedance measurement;
die power delivery system;
on-chip current reconstruction;
step-wise computer process;
chip representation;
variable resistor;
power delivery resonances;
Q-factors;
47.
Integrated power supply frequency domain impedance meter (IFDIM)
机译:
集成电源频域阻抗计(IFDIM)
作者:
Waizman
;
A.
;
Livshitz
;
M.
;
Sotman
;
M.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
power supply circuits;
frequency-domain analysis;
electric impedance measurement;
voltage regulators;
integrated power supply;
frequency domain impedance meter;
integrated on-die current throttling method;
self checking on-die current throttling method;
CPU power delivery;
voltage regulator;
impedance profile characterization;
100 Hz to 600 MHz;
48.
Isolation in mixed-signal systems using a novel electromagnetic bandgap (EBG) structure
机译:
使用新型电磁带隙(EBG)结构隔离混合信号系统
作者:
Jinwoo Choi
;
Govind
;
V.
;
Swaminathan
;
M.
;
Lixi Wan
;
Doraiswami
;
R.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
mixed analogue-digital integrated circuits;
photonic band gap;
radiofrequency integrated circuits;
analogue integrated circuits;
digital integrated circuits;
integrated circuit noise;
interference suppression;
isolation method;
mixed signal systems;
electromagnetic bandgap structure;
alternating impedance structure;
sensitive RF circuits;
analog circuits;
noisy digital circuits;
electromagnetic modes;
bandgap frequency;
power supply;
noise suppression;
49.
Macro-modeling of transistor level receiver circuits
机译:
晶体管电平接收器电路的宏模型
作者:
Mutnury
;
B.
;
Swaminathan
;
M.
;
Cases
;
M.
;
Nam Pham
;
de Araujo
;
D.N.
;
Matoglu
;
E.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
receivers;
recurrent neural nets;
transistor circuits;
driver circuits;
digital integrated circuits;
integrated circuit modelling;
delays;
macromodeling;
transistor level receiver circuits;
receiver modeling techniques;
loading effect;
recurrent neural network;
receiver static characteristics;
delay element;
timing delay;
driver circuits;
digital integrated circuits;
50.
Measurement based multi-port models for HSS link channel coupling
机译:
基于测量的HSS链路通道耦合多端口模型
作者:
Jiang Li
;
Parker
;
C.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
multiport networks;
S-parameters;
telecommunication links;
telecommunication channels;
electromagnetic coupling;
computational geometry;
combinatorial mathematics;
measurement based multiport models;
HSS link channel coupling;
S-parameter models;
worst case differential coupling simulations;
test vehicles;
computational geometry;
combinatorial mathematics;
51.
Measurement-to-modeling correlation of the power delivery network impedance of a microprocessor system
机译:
微处理器系统的供电网络阻抗的测量与建模相关性
作者:
Aygun
;
K.
;
Hill
;
M.J.
;
Ellert
;
K.D.
;
Radhakrishnan
;
K.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
microcomputers;
distribution networks;
electric impedance measurement;
microprocessor system;
power delivery network impedance;
automated system;
distributed power delivery system model;
measurement to modeling correlation;
52.
Methodology for expedient computation of semiconductor substrate noise coupling
机译:
便捷计算半导体衬底噪声耦合的方法
作者:
Manetas
;
G.
;
Cangellaris
;
A.C.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
integrated circuit modelling;
integrated circuit noise;
semiconductor device noise;
matrix algebra;
electronic engineering computing;
substrates;
semiconductor substrate noise coupling;
semi-analytical modeling methodology;
substrate contacts;
transfer resistance;
conductance matrix representation;
53.
Methodology to simulate delta-I noise interaction with interconnect noise for wide, on-chip data-buses using lossy transmission-line power-blocks
机译:
使用有损耗的传输线功率块来模拟宽芯片上数据总线与增量噪声的δ-I噪声相互作用的方法
作者:
Deutsch
;
A.
;
Smith
;
H.H.
;
Rubin
;
B.J.
;
Krauter
;
B.L.
;
Kopcsay
;
G.V.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
microprocessor chips;
integrated circuit noise;
integrated circuit modelling;
integrated circuit interconnections;
computational complexity;
system buses;
crosstalk;
driver circuits;
circuit simulation;
delta-I noise interaction simulation;
lossy transmission line power blocks;
computational complexity reduction;
power distribution;
interconnect noise prediction;
on-chip data buses;
clock frequencies;
common mode noise;
crosstalk;
on-chip interconnections;
microprocessor chips;
driver circuits;
receiver circuits;
54.
Miniaturized electromagnetic bandgap structures for ultra-wide band switching noise mitigation in high-speed printed circuit boards and packages
机译:
微型电磁带隙结构,用于减轻高速印刷电路板和封装中的超宽带开关噪声
作者:
Shahparnia
;
S.
;
Ramahi
;
O.M.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
photonic band gap;
distribution networks;
printed circuits;
printed circuit design;
electronics packaging;
circuit noise;
circuit switching;
miniaturized electromagnetic bandgap structures;
ultra wide band switching noise mitigation;
high speed printed circuit boards;
high speed printed circuit packages;
power distribution network;
55.
Modeling and mitigating AC common mode conversion in multi-Gb/s differential printed circuit boards
机译:
建模和缓解多Gb / s差分印刷电路板中的AC共模转换
作者:
Heck
;
H.
;
Hall
;
S.
;
Horine
;
B.
;
Liang
;
T.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
printed circuit design;
PCB modeling;
differential printed circuit boards;
AC common mode conversion;
differential signal phase skew;
FR4 PCB;
timing margins;
voltage margins;
signaling rates;
5 to 10 Gbit/s;
56.
Modeling of power distribution networks with retardation using the transmission matrix method
机译:
传输矩阵法对带延迟的配电网建模
作者:
Watanabe
;
T.
;
Srinivasan
;
K.
;
Asai
;
H.
;
Swaminathan
;
M.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
distribution networks;
transmission line matrix methods;
power transmission lines;
inductance;
frequency-domain analysis;
power integrated circuits;
CMOS digital integrated circuits;
integrated circuit modelling;
integrated circuit modeling;
multilayered power distribution networks;
transmission matrix method;
signal lines;
transmission lines;
self inductances;
mutual inductances;
retardation currents;
frequency domain analysis;
memory savings;
power integrated circuits;
CMOS digital integrated circuits;
SPICE;
57.
Modeling of semiconductor substrate on on-chip power grid switching
机译:
片上电网切换中半导体衬底的建模
作者:
Jae-Yong Ihm
;
Cangellaris
;
A.C.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
integrated circuit modelling;
surface impedance;
circuit switching;
Maxwell equations;
finite difference methods;
approximation theory;
semiconductor substrate modeling;
on-chip power grid switching;
electromagnetic model;
finite difference approximation;
Maxwell curl equations;
frequency dependent models;
equivalent surface impedance models;
on-chip power grid solver;
58.
Modeling of transmission lines with textured ground planes and investigation of data transmission by generating eye diagrams
机译:
具有带纹理的接地平面的传输线建模以及通过生成眼图来研究数据传输
作者:
Ho
;
J.C.W.
;
Quanyan Zhu
;
Abhari
;
R.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
transmission lines;
data communication;
distribution networks;
circuit simulation;
interference suppression;
photonic band gap;
frequency-domain analysis;
time-domain analysis;
transmission line modeling;
textured ground planes;
data transmission;
eye diagrams;
power distribution network;
lumped element model;
circuit simulations;
electromagnetic band gap structure;
circuit model parameters;
LC components;
parallel plate transmission line;
closed form relations;
structure under test;
scattering parameter graphs;
full wave simulations;
frequency domain analysis;
time domain analysis;
59.
Modeling requirements for transmission lines in multi-gigabit systems
机译:
多千兆系统中传输线的建模要求
作者:
Hall
;
S.
;
Tao Liang
;
Heck
;
H.
;
Shykind
;
D.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
transmission line theory;
time-domain analysis;
transient response;
system buses;
data integrity;
transmission line model;
multigigabit systems;
computer clock speeds;
Moore Law;
system buses;
processor speed;
signal integrity;
energy principles;
noncausal waveforms;
time domain responses;
transient responses;
multigigabit data rates;
multigigabit channel design;
8 to 10 Gbit/s;
60.
Modeling the impact of power/ground via arrays on power delivery
机译:
通过阵列对电源/接地的影响进行建模
作者:
Miller
;
J.R.
;
Novak
;
I.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
ball grid arrays;
inductance measurement;
electric impedance measurement;
power-ground via arrays;
plane impedance measurement;
full wave field solution;
inductance measurement;
array perimeter;
antipad diameter;
ball grid arrays;
power delivery;
via pair location;
61.
Module placement for power supply noise and wire congestion avoidance in 3D packaging
机译:
在3D封装中避免电源噪声和避免电线拥塞的模块放置
作者:
Jacob Minz
;
Sung Kyu Lim
;
Jinwoo Choi
;
Swaminathan
;
M.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
electronics packaging;
circuit optimisation;
interference suppression;
capacitance;
modules;
reliability;
power supply noise suppression;
wire congestion avoidance;
3D packaging;
automatic module placement algorithm;
routing congestion minimization;
decoupling capacitance insertion;
reliability;
3D global routing;
62.
Noise reduction in digital/RF daughter card with electromagnetic bandgap layers
机译:
具有电磁带隙层的数字/ RF子卡的降噪
作者:
Rogers
;
S.
;
Xin Wu
;
Waltho
;
A.
;
Xu
;
D.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
laptop computers;
photonic band gap;
integrated circuit noise;
digital integrated circuits;
mixed analogue-digital integrated circuits;
interference suppression;
radiofrequency integrated circuits;
noise reduction;
digital daughter card;
RF daughter card;
electromagnetic bandgap layers;
daughterboards;
laptop computers;
digital circuits;
RF circuits;
power plane noise coupling;
radiocards;
63.
On-chip global signaling by wave pipelining
机译:
通过流水线进行片上全局信令
作者:
Hashimoto
;
M.
;
Tsuchiya
;
A.
;
Onodera
;
H.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
CMOS logic circuits;
integrated circuit interconnections;
integrated circuit modelling;
invertors;
pipeline processing;
on-chip global signaling performance;
CMOS static repeater insertion;
on-chip transmission lines;
wave pipelining;
conventional repeater insertion signaling;
64.
Order selection in passive transmission line macromodels based on the Lie decomposition
机译:
基于Lie分解的无源传输线宏模型中的订单选择
作者:
Elfadel
;
I.M.
;
Huang
;
H.-M.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
transmission line theory;
multiconductor transmission lines;
matrix decomposition;
approximation theory;
Lie algebras;
losses;
order selection;
passive transmission line macromodel;
Lie decomposition;
multiconductor transmission lines;
multiplicative approximation;
matrix exponential approximation;
Lie product macromodel;
lumped circuit;
transmission line losses;
passive rational macromodeling;
transmission line theory;
time domain error criterion;
65.
Overview of some options to create low-Q controlled-ESR bypass capacitors
机译:
创建低Q受控ESR旁路电容器的一些选项概述
作者:
Novak
;
I.
;
Pannala
;
S.
;
Miller
;
J.R.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
distribution networks;
power capacitors;
thin film capacitors;
resistors;
electric resistance;
inductance;
Q-factor;
low-Q bypass capacitors;
equivalent series resistance;
ESR bypass capacitors;
resonance free power distribution networks;
inductance;
multilayer capacitors;
resistive plates;
low resistance capacitor plates;
thin film capacitors;
resistive terminations;
impedance profile;
66.
Parallel plate slot coupler modeling using two dimensional frequency domain transmission line matrix method
机译:
二维频域传输线矩阵法的平行板缝耦合器建模
作者:
Ito
;
R.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
parallel plate waveguides;
slot line components;
waveguide couplers;
waveguide theory;
frequency-domain analysis;
transmission line matrix methods;
electronics packaging;
parallel plate slot coupler modeling;
two dimensional frequency domain method;
two dimensional transmission line matrix method;
2D TLM model;
parallel plate waveguides;
millimeter wave packaging;
waveguide coupler;
via waveguide coupler;
67.
Power delivery modeling and design methodology for a programmable logic device package
机译:
可编程逻辑器件封装的功率传输建模和设计方法
作者:
Pannikkat
;
A.
;
Long
;
J.
;
Jin Zhao
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
programmable logic devices;
electronics packaging;
electric impedance;
power system simulation;
power engineering computing;
power delivery modeling methodology;
power delivery design methodology;
programmable logic device package;
DC IR drop;
high frequency power ground input impedance;
power integrity software;
power delivery system;
calibration;
68.
Power distribution analysis for IBM eServer system integration optimization
机译:
用于IBM eServer系统集成优化的配电分析
作者:
Huber
;
A.
;
Tingdong Zhou
;
Becker
;
W.D.
;
Weekly
;
R.
;
Klink
;
E.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
IBM computers;
network servers;
power distribution;
electronics packaging;
optimisation;
power distribution analysis;
IBM eServer system integration optimization;
DC analysis workflow;
DC strategy;
IBM eServer design;
system high level design;
system high level optimization;
interface pin pattern optimization;
prephysical deign analysis;
postphysical deign analysis;
first level packaging design optimization;
first level packaging design verification;
server power delivery system design;
69.
Power supply noise modeling and correlation for a 3.2 GHz bidirectional differential memory bus
机译:
3.2 GHz双向差分存储器总线的电源噪声建模和相关性
作者:
Schmitt
;
R.
;
Chuck Yuan
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
DRAM chips;
electric noise measurement;
system buses;
electronics packaging;
distribution networks;
correlation theory;
power supply noise modeling;
bidirectional differential memory bus;
high bandwidth XDR DRAM memory system;
power supply network model;
worst case supply noise;
device packages;
correlation theory;
3.2 GHz;
70.
Simulation of coupled interconnects using waveform relaxation and transverse partitioning
机译:
使用波形弛豫和横向划分模拟耦合互连
作者:
Nakhla
;
N.
;
Ruehli
;
A.
;
Nakhla
;
M.
;
Achar
;
R.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
computational complexity;
iterative methods;
circuit simulation;
coupled transmission lines;
interconnections;
coupled interconnect simulation;
waveform relaxation;
transverse partition;
coupled lines;
interconnect structure;
high speed circuit simulation;
computational complexity;
71.
Simultaneous switching noise analysis for full-chip power integrity sign-off
机译:
同时进行开关噪声分析以实现全芯片电源完整性签核
作者:
Schmitt
;
M.
;
Yu Liu
;
Chang
;
N.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
integrated circuit noise;
chip-on-board packaging;
microprocessor chips;
distribution networks;
switching theory;
SPICE;
simultaneous switching noise analysis;
full chip power integrity sign off;
microchip integrated system;
package environment;
board environment;
noise identification;
I/O cell simultaneous switching output;
network processor design;
dynamic AC noise analysis flow;
SPICE simulation;
silicon;
130 nm;
72.
SSN issues with IBIS models
机译:
IBIS模型的SSN问题
作者:
Varma
;
A.
;
Steer
;
M.
;
Franzon
;
P.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
CMOS integrated circuits;
driver circuits;
equivalent circuits;
SPICE;
splines (mathematics);
integrated circuit modelling;
circuit simulation;
function approximation;
finite difference time-domain analysis;
simultaneous switching noise;
HSPICE;
input-output buffer information specification models;
CMOS driver circuit;
equivalent circuit;
s2ibis tool;
North Carolina State University;
spline functions;
finite time difference approximation modeling;
73.
Stability analysis of latency insertion method (LIM)
机译:
潜伏期插入方法(LIM)的稳定性分析
作者:
Deng
;
Z.
;
Schutt-Aine
;
J.E.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
circuit simulation;
RLC circuits;
finite difference time-domain analysis;
Fourier series;
numerical stability;
circuit stability;
stability analysis;
latency insertion method;
finite difference time domain simulation;
optimal difference scheme;
Von Neumann method;
stability conditions;
RLC circuits;
74.
Surface-based PEEC formulation for modeling conductors and dielectrics in time and frequency domain combined circuit electromagnetic simulations
机译:
基于表面的PEEC公式,用于在时域和频域组合电路电磁仿真中对导体和电介质建模
作者:
Gope
;
D.
;
Ruehli
;
A.
;
Jandhyala
;
V.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
SPICE;
circuit simulation;
electromagnetic coupling;
conductors (electric);
equivalent circuits;
dielectric materials;
integral equations;
frequency-domain analysis;
time-domain analysis;
electronics packaging;
integrated circuit packaging;
chip scale packaging;
surface based partial element equivalent circuit;
conductor dielectric modeling;
time domain analysis;
frequency domain analysis;
integral equation;
electromagnetic circuit simulations;
volume based method;
mutual coupling;
equivalent magnetic circuits;
equivalent electric circuits;
SPICE type circuit solver;
75.
System level deterministic and random jitter measurement and extraction for multi-gigahertz memory buses
机译:
多千兆赫存储总线的系统级确定性和随机抖动测量与提取
作者:
Madden
;
C.
;
Oh
;
K.S.
;
Yuan
;
X.C.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
system buses;
data communication;
jitter;
error statistics;
local area networks;
distributed memory systems;
random processes;
system level jitter;
multigigahertz memory buses;
deterministic jitter measurement;
random jitter measurement;
random jitter extraction;
computer systems;
data communication systems;
gigabit Ethernet;
fibre channel;
design process;
bit error rate model;
Rambus XDR/spl trade/ memory system;
2.4 to 8.0 Gbit/s;
76.
The applications of EBG structures in power/ground plane pair SSN suppression
机译:
EBG结构在电源/地平面对SSN抑制中的应用
作者:
Guang Chen
;
Melde
;
K.
;
Prince
;
J.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
power systems;
photonic band gap;
interference suppression;
capacitors;
electromagnetic coupling;
antenna earths;
electromagnetic band gap structures;
power-ground plane pair;
simultaneous switching noise suppression;
power delivery systems;
decoupling capacitors;
77.
Transient current extraction from time domain voltage measurement
机译:
从时域电压测量中提取瞬态电流
作者:
Zhou
;
Y.
;
Herberg
;
B.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
关键词:
circuit simulation;
power supply circuits;
voltage measurement;
electric impedance measurement;
microcomputers;
distribution networks;
transient current signature extraction;
time domain voltage measurement;
core power supply;
impedance measurement;
power delivery system;
electromagnetic simulation tools;
SPEED2000;
microprocessor system;
time domain simulation;
HRESET;
78.
Blank Page
机译:
空白页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
79.
Electrical Performance of Electronic Packaging - Title Page
机译:
电子包装的电气性能-标题页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
80.
Copyright
机译:
版权
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
81.
Breaker Page
机译:
断路器页面
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
82.
Blank Page
机译:
空白页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
83.
Breaker Page
机译:
断路器页面
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
84.
Blank Page
机译:
空白页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
85.
Electromagnetic modeling as a constituent of multi-disciplined design
机译:
电磁建模是多学科设计的组成部分
作者:
Buris N.E.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
86.
Breaker Page
机译:
断路器页面
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
87.
Blank Page
机译:
空白页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
88.
Introduction
机译:
介绍
作者:
Arabi T.
;
Jackson R.
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
89.
Technical Program Committee
机译:
技术计划委员会
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
90.
Blank Page
机译:
空白页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
91.
In Memorium: Vijai K. Tripathi
机译:
在备忘录中:Vijay A. Tripathi
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
92.
In Memorium: Paul A. Baltes
机译:
纪念册:Paul A. Baltes
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
93.
Blank Page
机译:
空白页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
94.
Breaker Page
机译:
断路器页面
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
95.
Blank Page
机译:
空白页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
96.
Breaker Page
机译:
断路器页面
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
97.
Blank Page
机译:
空白页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
98.
Breaker Page
机译:
断路器页面
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
99.
Blank Page
机译:
空白页
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
100.
Breaker Page
机译:
断路器页面
会议名称:
《Electrical Performance of Electronic Packaging, 2004》
|
2004年
上一页
1
2
下一页
意见反馈
回到顶部
回到首页