掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
23rd Annual BACUS Symposium on Photomask Technology
23rd Annual BACUS Symposium on Photomask Technology
召开年:
2003
召开地:
Monterey, CA(US);Monterey, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
157-nm Attenuated Phase-shift Mask Materials with Irradiation Stability
机译:
具有辐射稳定性的157nm衰减型相移掩模材料
作者:
J.R. Wasson
;
N.V. Edwards
;
Bing Lu
;
P.J.S. Mangat
;
A. Grenville
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
VUV lithography;
VUV reticles;
inspection;
lifetime study;
2.
193nm Haze Contamination: A Close Relationship between Mask and its Environment
机译:
193nm雾霾污染:口罩与环境之间的密切关系
作者:
Eric V. Johnstone
;
Laurent Dieu
;
Christian Chovino
;
Julio Reyes
;
Dongsung Hong
;
Prakash Krishnan
;
Dianna Coburn
;
Chris Capella
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
DUV;
mask contamination;
193nm contamination;
haze;
mask environment;
mask clean;
3.
90nm mask making processes using the positive tone chemically amplified resist FEP171
机译:
使用正性化学放大抗蚀剂FEP171的90nm掩模制造工艺
作者:
Joerg Butschke
;
Dirk Beyer
;
Chris Constantine
;
Peter Dress
;
Peter Hudek
;
Mathias Irmscher
;
Corinna Koepernik
;
Christian Krauss
;
Jason Plumhoff
;
Peter Voehringer
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask process;
e-beam, FEP171;
CAR;
positive tone;
proximity correction;
4.
A Common Base for Mask Cost of Ownership
机译:
面膜拥有成本的共同基础
作者:
Walt Trybula
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask cost of ownership;
CoO;
5.
A Comparative Evaluation of Mask Cleaning Performance
机译:
面膜清洁性能的比较评估
作者:
Woo-Gun Jeong
;
Dong-Il Park
;
Eui-Sang Park
;
Young-Woong Cho
;
Se-Jong Choi
;
Hyuk-Joo Kwon
;
Jin-Min Kim
;
Sang-Soo Choi
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
UV/Ozone treatment;
wettability;
conventional wet cleaning;
6.
A high-resolution reticle inspection technique providing a complete reticle qualification solution in advanced 90nm node wafer fabs
机译:
高分辨率掩模版检测技术为先进的90nm节点晶圆厂提供了完整的掩模版鉴定解决方案
作者:
Louie Liu
;
C.H. Liao
;
Yi-Ming Dai
;
Jyh-Ching Lin
;
Kaustuve Bhattacharyya
;
Yao-Tsu Huang
;
Kong Son
;
Den Wang
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask contamination;
photomask;
fab;
OPC;
terastar;
STARlight;
7.
A Model-Based Methodology for Reducing OPC Output Pattern Complexity
机译:
降低OPC输出模式复杂度的基于模型的方法
作者:
Lawrence S. Melvin III
;
Michael L. Rieger
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
dissection;
OPC;
reticles;
segment;
model;
8.
Advanced FIB mask repair technology for 90nm/ArF lithography (3)
机译:
用于90nm / ArF光刻的先进FIB掩模修复技术(3)
作者:
Yoshiyuki Tanaka
;
Yasutoshi Itou
;
Nobuyuki Yoshioka
;
Ryoji Hagiwara
;
Anto Yasaka
;
Osamu Takaoka
;
Tomokazu Kozakai
;
Yoshihiro Koyama
;
Hiroshi Sawaragi
;
Yasuhiko Sugiyama
;
Masashi Muramatsu
;
Toshio Doi
;
Katsumi Suzuki
;
Mamoru Okabe
;
Masashi Shinohara
;
Osam
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
ArF lithography;
90nm node;
9.
Aerial image-based off-focus inspection: Lithography process window analysis during mask inspection
机译:
基于航空影像的离焦检查:掩模检查期间的光刻工艺窗口分析
作者:
Shirley Hemar
;
Reuven Falah
;
Yuval Blumberg
;
Anja Rosenbusch
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
aerial-image based mask inspection;
193nm technology;
OPC;
off-focus inspection;
10.
Analysis of Etched Quartz Solutions for 65nm Node Critical Layer Lithography
机译:
65nm节点关键层光刻的石英解决方案分析
作者:
Ebo Croffie
;
Neal Callan
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
alternating phase shift mask;
chromeless phase shift mask;
etched quartz;
low k_1;
65 nm lithography;
wafer volume crossover model;
cost of ownership;
PSM;
11.
Automated CD-error compensation for negative-tone chemically amplified resists by zone-controlled post-exposure bake
机译:
通过区域控制的曝光后烘烤对负性化学放大抗蚀剂进行自动CD误差补偿
作者:
Lothar Berger
;
Peter Dress
;
Thomas Gairing
;
Chia-Jen Chen
;
Ren-Guey Hsieh
;
Hsin-Chang Lee
;
Hung-Chang Hsieh
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
CAR;
65nm node;
post-exposure delay;
PED;
post-exposure bake;
PEB;
global CD-uniformity improvement;
12.
Cell projection EB exposure for Giga DRAM device Mask
机译:
Giga DRAM器件掩模的单元投影EB曝光
作者:
Jaecheon Shin
;
Munki Lim
;
Youngmo Lee
;
Bo-kyoung choi
;
Yongkyoo Choi
;
Oscar Han
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask;
cell projection exposure;
CP aperture;
electron-beam;
DRAM;
13.
Corner Roundness and Contact Area algorithms for Reticle Metrology through the use of Region Connectivity Extraction
机译:
通过使用区域连通性提取来实现光罩计量的角圆度和接触面积算法
作者:
R.Kris
;
G.Gottlib
;
O.Menadeva
;
R.Peltinov
;
L.Segal
;
N.Shcolnik
;
A.Tam
;
A.Vilenkin
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
CD metrology;
SEM metrology;
contact area;
corner roundness;
smoothing spline;
14.
Creating Direct-write Gray-scale Photomasks with Bimetallic Thin Film Thermal Resists
机译:
使用双金属薄膜热敏电阻创建直写式灰度光掩模
作者:
Glenn Chapman
;
Yuqiang Tu
;
James Dykes
;
Masahiko Mio
;
Jun Peng
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
direct-write photomask;
thermal resist;
bimetallic thin film;
gray-scale photomask;
15.
Dehydration Bake Effects with UV/O_3 Treatment for 130 nm Node PSM Processing
机译:
UV / O_3处理对130 nm节点PSM的脱水烘烤效果
作者:
Yong-Dae Kim
;
Dong-Seuk Lee
;
Dong-Il Park
;
Hyuk-Joo Kwon
;
Jin-Min Kim
;
Sung-Mo Jung
;
Sang-Soo Choi
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
phase shift mask;
second level lithography;
stress;
adhesive properly;
resist;
16.
Development of a new PSM film system for 157 nm extensible to high transmission 193 nm lithography
机译:
开发新的PSM膜系统,用于157 nm可扩展至高透射率193 nm光刻
作者:
Hans Becker
;
Jay Chey
;
Frank Sobel
;
Frank Schmidt
;
Markus Renno
;
Ute Buttgereit
;
Marie Angelopoulos
;
Guenter Hess
;
Konrad Knapp
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
PSM;
phase shift;
mask blank;
157nm;
193nm;
17.
Development of Phase-shift and Transmittance Metrology System for 157nm PSMs
机译:
157nm PSM的相移和透射计量系统的开发
作者:
Hal Kusunose
;
Takashi Yasui
;
Iwao Higashikawa
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
157nm lithography;
phase-shift;
transmittance;
F_2 laser;
interferometer;
PSM;
18.
Dose modulation induced mask CD error on simultaneous correction of fogging and loading effect
机译:
剂量调制引起的掩模CD误差,同时校正起雾和加载效果
作者:
Ho-June Lee
;
Seung-Hune Yang
;
Jin-Hong Park
;
Seong-Yong Moon
;
Seong-Woon Choi
;
Jung- Min Sohn
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
fogging;
loading;
dose modulation;
variable eta;
19.
DUV ALTA system aerial image enhancement for improved pattern fidelity
机译:
DUV ALTA系统航拍图像增强,可提高图案保真度
作者:
Paul C. Allen
;
Alex Buxbaum
;
Samuel Howells
;
Boaz Kenan
;
Asher Klatchko
;
Peter Pirogovsky
;
Robin Teitzel
;
Michael White
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
CAR;
CW laser;
mask pattern generation system;
multibeam;
OPC;
pattern fidelity;
20.
DUV Mask Writer for BEOL 90nm Technology Layers
机译:
适用于BEOL 90nm技术层的DUV掩模写入器
作者:
Dongsung Hong
;
Prakash Krishnan
;
Dianna Coburn
;
Nazneen Jeewakhan
;
Shengqi Xie
;
Joshua Broussard
;
Bradley Ferguson
;
Kent Green
;
Peter Buck
;
Curt Jackson
;
Larry Martinez
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
photomask;
mask;
OPC;
ALTA-4300;
ALTA-3500;
50KeV vector scan beam (VSB);
e-beam lithography;
optical mask lithography;
RAM-8 (R8, 130nm node);
RAM-9 (R9, 90nm node);
21.
Efficient mask data preparation for the variable shaped e-beam writing system focusing on memory devices
机译:
专注于存储设备的可变形状电子束写入系统的有效掩模数据准备
作者:
Tae-Hwang Jang
;
Jong-Bae Lee
;
Jae-Pil Shin
;
Kwang-Jai Yoo
;
Dai-Hyun Jung
;
Yong-Hee Park
;
Moon-Hyun Yoo
;
Jeong-Taek Kong
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask manufacturing;
variable shaped E-beam system;
data conversion;
selective one-directional fracturing;
polygon-aware fracturing;
mixed-mode data processing;
22.
Enhanced Dispositioning of Reticle Defects for Advanced Masks Using Virtual Stepper with Automated Defect Severity Scoring
机译:
使用具有自动缺陷严重性评分的虚拟步进器,增强了高级蒙版的掩模版缺陷的处理
作者:
Linyong Pang
;
Alex Lu
;
Jacky Chen
;
Eric Guo
;
Lynn Cai
;
Jiunn-Hung Chen
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
defect inspection;
wafer printability;
OPC;
assist bar;
simulation;
defect severity score;
virtual stepper;
subwavelength;
feature;
photomask quality;
23.
EUV Mask Making: An Approach Based on the Direct Patterning of the EUV Reflector
机译:
EUV掩模制作:一种基于EUV反射镜直接图案化的方法
作者:
Christian Chovino
;
Laurent Dieu
;
Eric Johnstone
;
Julio Reyes
;
Bruno La Fontaine
;
Harry J. Levinson
;
Adam Pawloski
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
EUV mask process;
mo/si multilayer etch;
EUV binary;
EUV AAPSM;
EUV EAPSM;
24.
EUV substrate and blank inspection with confocal microscopy
机译:
共聚焦显微镜检查EUV底物和空白
作者:
Jan-Peter Urbach
;
Jan Cavelaars
;
Hal Kusunose
;
Ted Liang
;
Alan R.Stivers
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
EUVL;
mask blank inspection;
substrate inspection;
25.
Fabrication of programmed phase defects on EUV multilayer blanks
机译:
在EUV多层毛坯上制造程序化的相缺陷
作者:
Takeru Kinoshita
;
Tsutomu Shoki
;
Hideo Kobayashi
;
Ryo Ohkubo
;
Yoh-ichi Usui
;
Morio Hosoya
;
Noriyuki Sakaya
;
Osamu Nagarekawa
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
EUV lithography;
multilayer;
phase defect;
EUV mask blanks;
programmed defects;
26.
Fine Pixel CD-SEM for Measurements of Two-dimensional Patterns
机译:
用于测量二维图案的精细像素CD-SEM
作者:
Shinji Yamaguchi
;
Masamitsu Itoh
;
Takahiro Ikeda
;
Yumiko Miyano
;
Tadashi Mitsui
;
Masuo Amma
;
Shoichi Horikawa
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
CD-SEM;
repeatability;
pixel size;
two-dimensional patterns;
OPC;
27.
Focus Latitude Optimization for Model Based OPC
机译:
基于模型的OPC的聚焦纬度优化
作者:
Qi-De Qian
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
photolithography;
mask;
simulation;
OPC;
layout verification;
28.
Full-chip Application for SRAM Gate at 100nm Node and Beyond Using Chromeless Phase Lithography
机译:
使用无铬相位光刻技术在100nm节点及更高级别上用于SRAM门的全芯片应用
作者:
Ji-Soong Park
;
Sung-Hyuck Kim
;
In-Kyun shin
;
Seong-woon Choi
;
Jung- Min Sohn Jae-Han Lee
;
Hye-Soo Shin
;
Tom Laidig
;
Douglas Van Den Broeke
;
J. Fung Chen
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
CPL;
chromeless phase lithography;
phase shift mask;
100 transmission;
SRAM;
gate;
chrome shield;
29.
Immersion System Process Optimization for 248nm and 193nm Photomasks - Binary and EAPSM
机译:
248nm和193nm光掩模的浸入系统工艺优化-二进制和EAPSM
作者:
Gim Chen
;
Julio Reyes
;
James Wood
;
Ismail Kashkoush
;
Laurent Dieu
;
Richard Novak
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
photomask cleaning;
photoresist stripping;
ozone chemistry;
binary photomask;
EAPSM (embedded attenuated phase shift mask);
phase angle;
transmittance;
reflectivity;
30.
Implementing AAPSM in 90 nm product with practical image imbalance correction
机译:
在90 nm产品中实施AAPSM,并进行实际的图像失衡校正
作者:
Benjamin Lin
;
Shu-hao Hsu
;
I. H. Huang
;
Kunyuan Chen
;
Frank Hsieh
;
Tony Hsu
;
Hua-yu Liu
;
Armen Kroyan
;
Freeman Hsu
;
Jason Huang
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
alternating-aperture PSM;
image intensity imbalance;
undercut;
shifter width bias;
SRAM;
DOf;
31.
Improved Image Placement Performance of HL-7000M
机译:
改善了HL-7000M的图像放置性能
作者:
Masaomi Tanaka
;
Hiroyuki Ito
;
Hiroyuki Takahashi
;
Kazuyoshi Oonuki
;
Yasuhiro Kadowaki
;
Hidetoshi Sato
;
Hajime Kawano
;
Wang Zhigang
;
Kazui Mizuno
;
Genya Matsuoka
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
electron beam lithography;
mask;
image placement;
32.
Improved Phase Uniformity Control Using a New AAPSM Etch Stop Layer Technique
机译:
使用新的AAPSM蚀刻停止层技术改进的相位均匀性控制
作者:
Matthew Lassiter
;
Michael Cangemi
;
Darren Taylor
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
AAPSM;
etch stop layer;
33.
Improvement of empirical OPC Model Robustness using Full-Chip Aerial Image Analysis
机译:
利用全芯片航空影像分析改进经验OPC模型的鲁棒性
作者:
Thomas Roessler
;
Beate Frankowsky
;
Olivier Toublan
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
OPC;
variable threshold resist models;
model robustness;
full-chip aerial image analysis;
OPC test patterns;
34.
Improving global CD uniformity by optimizing Post Exposure Bake and Develop sequences
机译:
通过优化曝光后烘烤和显影顺序来提高全局CD均匀性
作者:
S. Osborne
;
M. Mueller
;
H. Lem
;
D. Reyland
;
K. H. Baik
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
dark loss;
CAR develop;
spray develop;
puddle develop;
CD uniformity;
remaining film thickness (RFT);
resist thickness loss (RTL);
raster scan e-beam;
lithography;
mask;
35.
Integrated Phase Shift Measurements For Advanced Mask Etch Process Control
机译:
集成相移测量,用于高级掩模蚀刻工艺控制
作者:
Turgut Sahin
;
Corey Collard
;
Scott A. Anderson
;
Alfred W. Mak
;
Cynthia B. Brooks
;
Melisa J. Buie
;
Phillip Walsh
;
George Li
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
integrated metrology;
alternating aperture phase shift masks;
chrome-less phase shift masks;
trench depth measurement;
phase shift measurement;
broadband spectrophotometry;
quartz phase shift masks;
36.
Integrating design data with manufacturing data: Why you want to use a universal data model (UDM)
机译:
将设计数据与制造数据集成:为什么要使用通用数据模型(UDM)
作者:
Wesley R. Erck
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
universal data model;
UDM;
OASIS;
SEMI P10;
mask data preparation;
MDP;
37.
INTEGRATION AND OPTIMIZATION OF THE DUV ALTA~(~R) PATTERN GENERATION SYSTEM USING A CAR PROCESS WITH THE TETRA~(TM) PHOTOMASK ETCH SYSTEM
机译:
使用TETRA〜(TM)光电掩膜刻蚀系统的汽车工艺对DUV ALTA〜(〜R)模式生成系统进行集成和优化
作者:
Alex Buxbaum
;
Scott E Fuller
;
Warren Montgomery
;
Michael Ungureit
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
DUV;
CAR;
photomask process;
dry-etch;
38.
Investigation of Smart Inspection of Critical Layer Reticles using Additional Designer Data to Determine Defect Significance
机译:
使用其他设计者数据确定缺陷重要性的智能层关键掩模版的智能检查研究
作者:
William Volk
;
Carl Hess
;
Wayne Ruch
;
Zongchang Yu
;
Weimin Ma
;
Lisa Fisher
;
Carl Vickery
;
Mark Ma
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
inspection;
reticles;
tapeout;
data prep;
design for manufacture;
39.
Litho-and-mask concurrent approach to the critical issues for proximity electron lithography
机译:
光刻与掩膜并发方法解决邻近电子光刻的关键问题
作者:
Shinji Omori
;
Kazuya Iwase
;
Keiko Amai
;
Yoko Watanabe
;
Shoji Nohama
;
Shinichiro Nohdo
;
Shigeru Moriya
;
Tetsuya Kitagawa
;
Kenta Yotsui
;
Gaku Suzuki
;
Akira Tamura
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
proximity electron lithography;
stencil mask;
LEEPL-3000;
40.
Mask cost and specification
机译:
口罩成本和规格
作者:
Hisashi Watanabe
;
Iwao Higashikawa
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask cost;
mask specification;
writing tool;
inspection tool;
partnership;
41.
Mask Industry Assessment: 2003
机译:
口罩行业评估:2003年
作者:
Kurt R. Kimmel
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
42.
Mask pattern fidelity quantification method
机译:
掩模图案保真度量化方法
作者:
W. C. Wang
;
S. M. Chang
;
C. C. Chin
;
C. L. Lu
;
Angus S. J. Chin
;
H. C. Hsieh
;
S. S. Yu
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask;
pattern fidelity;
aerial image;
2-D image analysis;
43.
Mask patterning technologywith KrF photomask repeater
机译:
使用KrF光掩模中继器进行掩模图案化技术
作者:
Taejoong Ha
;
Munki Lim
;
Youngmo Lee
;
Bo K. Choi
;
Yongkyoo Choi
;
Oscar Han
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
photomask repeater;
KrF stepper;
registration;
CD uniformity;
mother mask and daughter mask;
44.
Mask-masking Study for the 65-nm Node
机译:
65纳米节点的掩模掩膜研究
作者:
Chia-Jen Chen
;
Hsin-Chang Lee
;
Chi-Lun Lu
;
Ren-Guey Hsieh
;
Wen-Chi Chen
;
Hung-Chang Hsieh
;
Burn J. Lin
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
L-CD;
G-CD;
PCD;
PEDV;
PEB sensitivity;
E-beam;
DOF;
fogging effect;
45.
Material removal strategies and results for 193nm lithography using FIB mask repair
机译:
使用FIB掩模修复的193nm光刻的材料去除策略和结果
作者:
David Ferranti
;
Anthony Graupera
;
Jeffrey Marshman
;
Diane Stewart
;
Sharon Szelag
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask repair;
opaque defects;
chrome defects;
FIB;
46.
METROPOLE-3D: A Three Dimensional Electromagnetic Field Simulator for EUV Masks under Oblique Illumination
机译:
METROPOLE-3D:倾斜照明下EUV掩模的三维电磁场模拟器
作者:
Zhengrong Zhu
;
Kevin.Lucas
;
Jonathan.L.Cobb
;
Scott D.Hector
;
Andrzej.J. Strojwas
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
EUV mask simulation;
oblique illumination;
wave guide method;
47.
Migration of 90nm mask and wafer lithography learning into 130nm mask production to improve performance and yield
机译:
将90nm掩模和晶圆光刻学习迁移到130nm掩模生产中以提高性能和良率
作者:
Andrew J. Watts
;
Yiyang Wang
;
Jed H. Rankin
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
yield;
90nm;
130nm;
critical dimension uniformity;
metrology;
wafer lithography;
48.
Near 0.3 k_1 Full Pitch Range Contact Hole Patterning Using Chromeless Phase Lithography (CPI)
机译:
使用无铬相光刻(CPI)接近0.3 k_1全节距范围的接触孔图案
作者:
Douglas Van Den Broeke
;
Robert Socha
;
Stephen Hsu
;
J. Fung Chen
;
Tom Laidig
;
Noel Corcoran
;
Uwe Hollerbach
;
Kurt E. Wampler
;
Xuelong Shi
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
chromeless phase lithography;
CPL;
off-axis illumination;
QUASAR;
resolution enhancement techniques;
PSM;
model OPC;
interference mapping;
contact hole imaging;
49.
New method for approaching to the loading free process for photomask Cr etching
机译:
接近光掩模Cr蚀刻的无负载工艺的新方法
作者:
Il-Yong Jang
;
Jeong-Yoon Lee
;
Seong-Yong Moon
;
Seong-Woon Choi
;
Jung-Min Sohn
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
photomask;
dry etch;
cr;
loading effect;
HCL;
selectivity;
density;
50.
OASIS vs. GDSII Stream Format Efficiency
机译:
OASIS与GDSII流格式效率
作者:
Alfred J. Reich
;
Kent Nakagawa
;
Robert Boone
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
GDSII;
OASIS;
stream format;
integer representations;
51.
OPC Model Generation Procedure for Different Reticle Vendors
机译:
不同光罩供应商的OPC模型生成过程
作者:
Andrew M. Jost
;
Nadya Belova
;
Neal P. Callan
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
reticle;
OPC;
modeling;
mask effects;
52.
Optical critical dimension (OCD) measurements for profile monitoring and control: Applications for mask inspection and fabrication
机译:
用于轮廓监测和控制的光学关键尺寸(OCD)测量:掩模检查和制造的应用
作者:
Ray J. Hoobler
;
Ebru Apak
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
OCD;
scatterometry;
normal incidence spectroscopic ellipsometry;
ADI;
AEI;
53.
Optimization of a 65nm Alternating Phase Shift Quartz Etch Process
机译:
65nm交替相移石英蚀刻工艺的优化
作者:
Scott A. Anderson
;
Rex B. Anderson
;
Melisa J. Buie
;
Madhavi Chandrachood
;
Jason O. Clevenger
;
Yvette Lee
;
Nicole Sandlin
;
Jian Ding
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
quartz etch;
RIE lag;
micro-trenching;
54.
Optimization of EUVL reticle thickness for image placement accuracy
机译:
优化EUVL掩模版厚度以提高图像放置精度
作者:
Liang Zheng
;
Andrew R. Mikkelson
;
Amr Abdo
;
Roxann L. Engelstad
;
Edward G. Lovell
;
Thomas J. White
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
EUV lithography;
mask distortions;
mask thickness;
image placement accuracy;
finite element analysis;
55.
Optimization of Nanomachining Repair Conditions for ArF lithography
机译:
ArF光刻纳米加工修复条件的优化
作者:
Tsuyoshi Amano
;
Masaharu Nishiguchi
;
Hiroyuki Hashimoto
;
Yasutaka Morikawa
;
Naoya Hayashi
;
Roy White
;
Ron Bozak
;
Lee Terrill
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
new repair technique;
nanomachining;
ArF lithography;
56.
Parallel processing approaches in RET and MDP: New hybrid multithreading and distributed technology for optimum throughput in a hierarchical flow
机译:
RET和MDP中的并行处理方法:新型混合多线程和分布式技术,可在分层流中实现最佳吞吐量
作者:
Steffen Schulze
;
Emile Sahouria
;
Robert Todd
;
Laurence Grodd
;
Mary Finch
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
57.
Passivation of the 157 nm Pellicle with Nanometer Thin Films
机译:
纳米薄膜对157 nm薄膜的钝化
作者:
Yue Kuo
;
Jiang Lu
;
Jun-Yen Tewg
;
Paul A. Zimmerman
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
157 nm lithography;
pellicle;
5 nm thin film;
passivation of pellicle;
58.
Porous Silica Pellicle Frame
机译:
多孔二氧化硅膜框
作者:
De-Yin Jeng
;
D. Laurence Meixner
;
Rahul Ganguli
;
Steve Colbern
;
Troy Robinson
;
Mark Morris
;
S. Ray Chaudhuri
;
Brian Grenon
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
sol-gel;
photomask frame;
pellicle;
fused silica;
porous silica;
157-nm processing;
impurity scavenging;
59.
Printability of 2D Mask Quality
机译:
二维蒙版质量的可打印性
作者:
Vicky Philipsen
;
Rik Jonckheere
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask quality printability;
contact holes;
193nm lithography;
pattern fidelity;
corner rounding;
60.
Process monitoring of chrome dry-etching with RF sensor for reticle production beyond 90-nm node
机译:
利用RF传感器对铬干蚀刻进行过程监控,以实现标线片生产(超过90 nm节点)
作者:
Hitoshi Handa
;
Satoshi Yamauchi
;
Hiroshi Maruyama
;
Satoshi Ishimoto
;
Makoto Kosugi
;
Yutaka Miyahara
;
Toshibumi Uryu
;
Toshifumi Yokoyama
;
Akihiko Naito
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
chrome dry-etching;
endpoint detection;
CD control;
RF sensor;
61.
Properties of a 248-nm DUV laser mask pattern generator for the 90-nm and 65-nm technology nodes
机译:
用于90 nm和65 nm技术节点的248 nm DUV激光掩模图案发生器的特性
作者:
Johan Aman
;
Hans Fosshaug
;
Tobias Hedqvist
;
Jan Haerkesjoe
;
Peter Hoegfeldt
;
Marie Jacobsson
;
Andrzej Karawajczyk
;
Johan Karlsson
;
Mats Rosling
;
Henrik Sjoeberg
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
62.
Resist process optimisation for a DUV laser pattern generator
机译:
DUV激光图案发生器的抗蚀剂工艺优化
作者:
Hans Fosshaug
;
Adisa Bajramovic
;
Johan Karlsson
;
Kezhao Xing
;
Anna Rosendahl
;
Anna Dahlberg
;
Charles Bjoernberg
;
Mans Bjuggren
;
Torbjoern Sandstroem
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
sigma 7300 laser pattern generator;
chemically amplified resist (CAR);
post apply bake (PAB);
post exposure bake (PEB);
FEP-171 resist;
63.
Results from a new reticle defect inspection platform
机译:
新的标线缺陷检查平台的结果
作者:
William H. Broadbent
;
James N. Wiley
;
Zain K. Saidin
;
Sterling G. Watson
;
David S. Alles
;
Larry S. Zurbrick
;
Chris A. Mack
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
DUV;
193nm;
248nm;
reticle;
defect;
inspection;
sensitivity;
flux;
OPC;
SRAf;
64.
Shuttle Mask Floorplanning
机译:
穿梭面具平面图
作者:
Gang Xu
;
Ruiqi Tian
;
D.F. Wong
;
Alfred Reich
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
shuttle mask;
floorplanning;
simulated annealing;
merging method;
65.
Simulation of mask CD variation for different local density with in -house developed e-beam lithography simulator
机译:
使用内部开发的电子束光刻模拟器模拟不同局部密度的掩模CD变化
作者:
Mi-Young Kim
;
Won-Tae Ki
;
Sung-Hoon Lee
;
Ji-Hyeon Choi
;
Seong-Woon Choi
;
Jung-Min Sohn
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
e-beam lithography;
simulation;
monte carlo;
mean-to-target;
PEC;
dose modulation;
GHOST;
fogging effect correction;
66.
Template fabrication for sub-80 nm contact hole patterning using step and flash imprint lithography
机译:
使用分步和闪光压印光刻技术进行亚80 nm接触孔图案化的模板制造
作者:
David P. Mancini
;
Kathleen A. Gehoski
;
William J. Dauksher
;
Kevin J. Nordquist
;
Douglas J. Resnick
;
Philip Schumaker
;
Ian McMackin
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
step and flash imprint lithography;
S-FIL;
contact;
pillar;
template;
67.
The Effects of Reticle Reflectance on Lithography
机译:
光罩反射率对光刻的影响
作者:
Kevin D. Cummings
;
Bernd Geh
;
Bing Lu
;
James Wasson
;
Eric Weisbrod
;
Bill Dauksher
;
Kevin Nordquist
;
Pawitter Mangat
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
reticle absorber;
chrome absorber;
reticle reflectivity;
mask reflectivity;
68.
Towards large area simulation of E-beam lithography
机译:
走向电子束光刻的大面积模拟
作者:
Martin Bonn
;
Uli Hofmann
;
Wolfgang Hoppe
;
Chris Progler
;
Michael Ryzhoukhin
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
lithography modeling;
E-beam lithography;
direct write;
mask making;
69.
Vortex Via Validation
机译:
通过验证涡流
作者:
Marc D. Levenson
;
Takeaki (Joe) Ebihara
;
Yasutaka Morikawa
;
Naoya Hayashi
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
contact;
via;
PSM;
optical vortex;
double-exposure;
70.
Yield Mask: the latest developments and their application in a Mask House production environment
机译:
成品口罩:面罩生产环境中的最新发展及其应用
作者:
Annemarie MacKenzie
;
Rudolf Laubmeier
;
Ankush Oberai
;
Sana Shaikh
;
Gerd Stockmann
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
defects;
yield management;
analysis;
software;
71.
DUV laser lithography for photomask fabrication
机译:
用于光掩模制造的DUV激光光刻
作者:
Curt Jackson
;
Peter Buck
;
Sarah Cohen
;
Vishal Garg
;
Charles Howard
;
Robert Kiefer
;
John Manfredo
;
James Tsou
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
DUV laser lithography;
chemically amplified resist;
pattern fidelity;
72.
INTERFEROMETRIC-PROBE ABERRATION MONITORS: AERIAL IMAGE AND IN-RESIST PERFORMANCE
机译:
干涉探头畸变监测仪:航空图像和抗阻性能
作者:
Garth C. Robins
;
Mircea Dusa
;
Bernd Geh
;
Andrew R. Neureuther
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
aberration;
image;
phase-shifting mask;
interference;
aberration monitor;
zernike aberrations;
printable artifact;
high-NA;
resist image;
focus monitor;
intensity imbalance;
illumination;
意见反馈
回到顶部
回到首页