首页> 外文期刊>Electronic products >SystemVerilog goes to next level
【24h】

SystemVerilog goes to next level

机译:SystemVerilog进入下一个级别

获取原文
获取原文并翻译 | 示例
           

摘要

IEEE Standard 1800-2005 SystemVerilog is the industry's first unified hardware description and verification language. A major extension of the established IEEE Std 1364-2001 Verilog language, it brings significant productivity benefits to designersdeveloping complex, high gate-count ASICs, SoCs, ASSPs, and FPGAs. Its advanced design constructs yield more compact RTL code, with typically a two-to-five times reduction in lines of RTL. Its powerful verification constructs help improve productivity with advanced verification techniques and methods.
机译:IEEE标准1800-2005 SystemVerilog是业界第一个统一的硬件描述和验证语言。它是已建立的IEEE Std 1364-2001 Verilog语言的主要扩展,它为开发复杂的高门数ASIC,SoC,ASSP和FPGA的设计人员带来了显着的生产率优势。其先进的设计结构可生成更紧凑的RTL代码,通常将RTL的行数减少2至5倍。其强大的验证结构可通过先进的验证技术和方法帮助提高生产率。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号