...
首页> 外文期刊>International Journal of VLSI Design & Communication Systems >Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Utilization
【24h】

Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Utilization

机译:利用定制SRAM和通用缓冲区利用率优化2D Mesh NOC路由器的设计

获取原文
   

获取外文期刊封面封底 >>

       

摘要

With the shrinking technology, reduced scale and power-hungry chip IO leads to System on Chip. The design of SOC using traditional standard bus scheme encounters with issues like non-uniform delay and routing problems. Crossbars could scale better when co
机译:随着技术的不断发展,减小规模和耗电的芯片IO导致了片上系统。使用传统标准总线方案的SOC设计遇到诸如非均匀延迟和路由问题的问题。交叉开关可以在扩展时更好地扩展

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号