...
首页> 外文期刊>IEICE transactions on information and systems >An FPGA-Based Change-Point Detection for 10Gbps Packet Stream
【24h】

An FPGA-Based Change-Point Detection for 10Gbps Packet Stream

机译:基于FPGA的10Gbps数据包流变化点检测

获取原文
   

获取外文期刊封面封底 >>

       

摘要

In statistical analysis and data mining, change-point detection that identifies the change-points which are times when the probability distribution of time series changes has been used for various purposes, such as anomaly detections on network traffic and transaction data. However, computation cost of a conventional AR (Auto-Regression) model based approach is too high and infeasible for online. In this paper, an AR model based online change-point detection algorithm, called ChangeFinder, is implemented on an FPGA (Field Programmable Gate Array) based NIC (Network Interface Card). The proposed system computes the change-point score from time series data received from 10GbE (10Gbit Ethernet). More specifically, it computes the change-point score at the 10GbE NIC in advance of host applications. It can find change-points on single or multiple streams using a context memory. This paper aims to reduce the host workload and improve change-point detection performance by offloading ChangeFinder algorithm from host to the NIC. As evaluations, change-point detection in the FPGA NIC is compared with a baseline software implementation and those enhanced by two network optimization techniques using DPDK and Netfilter in terms of throughput. The result demonstrates 16.8x improvement in change-point detection throughput compared to the baseline software implementation. It is corresponding to the 10GbE line rate. Performance and area overheads when supporting multiple streams are also evaluated.
机译:在统计分析和数据挖掘中,标识更改点的更改点检测已用于各种目的,这些更改点是时间序列更改的概率分布用于各种目的的时间,例如对网络流量和交易数据的异常检测。然而,传统的基于AR(自回归)模型的方法的计算成本太高并且对于在线而言是不可行的。在本文中,在基于FPGA(现场可编程门阵列)的NIC(网络接口卡)上实现了基于AR模型的在线变更点检测算法,称为ChangeFinder。拟议的系统根据从10GbE(10Gbit以太网)接收的时间序列数据计算变化点分数。更具体地说,它可以在主机应用程序之前计算10GbE NIC的变化点分数。它可以使用上下文存储器在单个或多个流上找到更改点。本文旨在通过将ChangeFinder算法从主机卸载到NIC上来减少主机工作量并提高更改点检测性能。作为评估,将FPGA NIC中的变更点检测与基准软件实现进行了比较,并通过吞吐量方面使用DPDK和Netfilter的两种网络优化技术对其进行了增强。结果表明,与基准软件实施相比,变更点检测吞吐量提高了16.8倍。它对应于10GbE线速。还支持多个流时的性能和区域开销。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号