首页> 中文期刊>大电机技术 >励磁系统中可控硅触发脉冲的FPGA编程实现

励磁系统中可控硅触发脉冲的FPGA编程实现

     

摘要

可控硅触发脉冲产生电路适用于单相、三相全控桥式供电装置中.一般可控硅移相触发电路采用硬件实现.本文中利用FPGA芯片由VHDL语言编程实现,可以更准确、简便地产生可控硅移相触发所需的双窄脉冲.与硬件电路实现相比,FPGA(现场可编程门阵列)的实现不但能有效防止由于可控硅换流而引起的误控制,而且集成度高,更精确和灵活.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号