首页> 中文期刊> 《井冈山师范学院学报》 >VHDL语言对微程序设计思想的描述及模拟的应用

VHDL语言对微程序设计思想的描述及模拟的应用

         

摘要

提出了以VHDL语言为手段,针对微程序设计思想进行描述和模拟,从而达到在制造硬件电路之前就可以验证设计思想的正确性.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号