首页> 中文期刊> 《广东石油化工学院学报》 >基于VHDL的HDB3译码器的设计与实现

基于VHDL的HDB3译码器的设计与实现

         

摘要

通过对HDB3码的特殊性分析,在进行编码时引用计数器来计算两个V之间1的个数,经过4个码元后,开始判断是否插B,同时采用双向码解决了插V难的问题.最后,采用VHDL语言在Max+plusⅡ中实现了HDB3码的编码.%The design concept for encoder of HDB3 code is that firstly find the crab code "V",and make sure if the wreck code‘V'conforms to 1 or 0 in the 3rd symbol.In the meantime,make sure that there are no complement code‘B',resum 4 sequential‘0'code accordingly,substitute all‘-1'for plusl,and output encode infonmation finally.The encoding of HDB3 code can be achieved in Max+plus Ⅱby VHDL when making simulation.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号