首页> 中文期刊> 《信息化研究》 >用VHDL设计快速BCD码加法器

用VHDL设计快速BCD码加法器

         

摘要

介绍了一种多位 BCD码快速加法器的设计方法 ,并给出了 3位 BCD码加法器的 VHDL源程序和在 Foundation Series 3.1 i环境中的模拟结果。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号