首页> 中文期刊> 《计算机测量与控制》 >基于DDS技术的LFM信号产生与FPGA实现

基于DDS技术的LFM信号产生与FPGA实现

         

摘要

cqvip:在分析线性调频(LFM)信号的时频特性和基于直接数字频率合成器(DDS)技术信号产生原理的基础上,选择ALTERA公司的Cyclone II系列的FPGA芯片EP2C70F896C6FPGA,采用ROM查找表技术,利用QuartusII系统提供的PLL锁相环IP核设计系统时钟,设计产生带宽B=10 MHz、时宽T=2.5μs的LFM信号;通过调用Modelsim仿真工具进行RTL仿真验证,FPGA电路仿真的结果与MATLAB仿真结果相符。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号