首页> 中文期刊> 《航空计算技术》 >XILINX FPGA内部BRAM资源的应用研究

XILINX FPGA内部BRAM资源的应用研究

         

摘要

XILINX FPGA内部拥有丰富的存储资源BRAM,可以大大简化设计的复杂度,在电子硬件模块设计中得到了广泛应用.BRAM可以用来设计单口存储器、双口存储器和FIFO等,满足数据缓冲和交换等应用需求.简要介绍BRAM的主要接口和功能,结合多年经验,重点描述了使用BRAM过程中异步访问接口设计考虑和双口存储器访问竞争处理等方面应注意的问题,为正确使用BRAM提供参考.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号