首页> 中文学位 >分形图像压缩算法研究与FPGA实现
【6h】

分形图像压缩算法研究与FPGA实现

代理获取

目录

文摘

英文文摘

原创性声明及本论文使用授权说明

笫一章绪论

第二章图像编码基础和分形原理概述

第三章分形图像压缩编码方法和新型改进算法

第四章 分形图像压缩系统硬件结构及设计

第五章分形图像压缩系统验证结果

笫六章总结与展望

附录MATLAB软件仿真程序

参考文献

研究生学习期间参与项目情况及发表论文

致谢

展开▼

摘要

随着多媒体技术和计算机通信的日益发展,图像信息已经成为通信和计算机系统的一种重要的处理对象,然而其庞大的数据量使得存储时要占用大量的空间,处理时要占用大量CPU时间,传输时所占用的时间和带宽花费的成本更是无法接受。图像压缩成为技术进步的迫切需要。分形图像压缩是分形理论的一个重要应用领域。传统的分形图像压缩编码策略都是建立在一个全局搜索的基础上,每个Range块参数的确定都需要对整个图像生成的Domain块进行搜索、匹配,其运算量是相当大的。本文阐述了一种新型、搜索量小的基于迭代仿射系统(SIFS:SearchlessIterativeFunctionSystem)的四叉树固定搜索领域分形图像压缩算法的设计,它的每个Range块的编码针对位置固定的Domain块。这个方法的优点在于其分形编码不包括Domain-Range块匹配对的坐标(x,y)。而且,Range块的大小可以小至2×2像素而同时仍然保持良好的压缩率和图像还原质量。同时该算法在误差匹配公式上也做了优化,使其在不影响图像压缩效果的前提下,更适合硬件的实现。 目前,国内主要采用软件编程(如VC++等)利用通用微处理器芯片(CPU)完成分形图像压缩算法,但其图像压缩的时间、图像还原质量等方面尚有不尽如人意之处。随着图像处理数据量的增大,用软件实现图像数据的编码已经不能满足图像处理的速度要求,用硬件实现图像处理算法已经成为必然趋势。本文充分利用硬件逻辑电路的并行运算优势,应用硬件描述语言VerilogHDL在FPGA(StratixTMEP1S40F780C5)上实现了这种新型分形压缩算法,占用了该片上3146个逻辑单元,最长路径延时为3.912ns,因此可以很安全地运行在8MHz的时钟上,在此频率下该设计可以在0.63ms内完成一幅256×256的8位(256级)灰度的图像编码。 分形图像压缩系统的实验结果表明其峰值信噪比(PSNR)及压缩率(compressionrate)和传统的基于Domain块搜索策略的方法相同,而其算法简捷适合于硬件实现,更利于实现实时分形图像压缩。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号