首页> 中文学位 >基于FPGA的嵌入式TCP/IP协议栈的实现
【6h】

基于FPGA的嵌入式TCP/IP协议栈的实现

代理获取

目录

文摘

英文文摘

声明

第一章绪论

1.1研究背景

1.2研究意义

1.3 国内外的发展现状

1.4 TCP/IP协议的产生与发展

1.5研究的内容

1.6论文章节的安排

第二章FPGA设计流程和Verilog语言介绍

2.1 FPGA设计流程

2.2设计输入

2.3 设计综合

2.4仿真验证

2.5设计实现

2.6 时序分析

2.7下载验证

2.8基于多种EDA工具的FPGA设计

2.9 Verilog HDL语言简介

3.1本章小节

第三章TCP/IP协议简介

3.1 引言

3.2TCP/IP网络协议的分层

3.3TCP/IP协议数据包的封装和分用

3.3.1 TCP/IP协议数据包的封装

3.3.2TCP/IP协议数据包的分用

3.4 ARP协议

3.5 IP协议

3.5.1概述

3.5.2 IP数据报文的填充

3.5.3校验和的计算

3.5.4 IP地址和子网

3.5.5协议栈中的路由设计

3.6ICMP协议的实现

3.7 UDP协议实现

3.7.1 UDP协议概述

3.7.2 UDP报文格式和校验和

3.8 TCP协议的实现

3.8.1 TCP的特点

3.8.2 TCP数据报文的格式和填充

3.8.3 TCP连接的建立

3.8.4TCP连接的终止

3.8.5 TCP报文中的序号和确认序号

3.8.6 TCP的超时和重传

3.8.7TCP的流量控制

3.8.8TCP的状态变迁

3.9小结

第四章TCP/IP协议栈的实现

4.1模块划分

4.2控制和状态寄存器

4.3 UDP发送控制模块

4.4 TCP发送控制模块

4.5模块ARP

4.6 ICMP模块

4.7发送缓存控制模块

4.8接收缓存控制模块

4.9 UDP接收缓存

4.10 UDP接收控制模块

4.11 TCP接收缓存

4.12TCP接收控制模块

第五章总结与展望

5.1总结

5.2展望

参考文献

致 谢

攻读学位期间发表的学术论文

展开▼

摘要

本文论述了嵌入式TCP/IP协议栈的实现,介绍了TCP/IP协议栈的原理,以及硬线实现TCP/IP协议栈的意义和应用。 第一章为绪论,介绍论文研究的目的、内容、意义和国内外研究发展的现状。 第二章介绍FPGA设计的流程和Verilog HDL设计语言。着重介绍了FPGA的代码输入、编译、综合、仿真和下载等等步骤,并且介绍了FPGA设计中使用到的EDA软件。介绍了Verilog HDL语言的起源,以及Verilog HDL语言的优缺点,并与VHDL语言进行了简单的比较。 第三章介绍嵌入式系统要实现的经过剪裁的TCP/IP协议栈的内容。着重介绍了要实现的TCP/IP协议栈的子协议,包括TCP协议、UDP协议、IP协议、ARP协议、ICMP协议。在介绍这些协议的时候,介绍了这些协议的工作原理,以及这些协议要用到的报文的格式。 第四章介绍实现剪裁的TCP/IP协议栈的实现,具体介绍的经过剪裁的TCP/IP各个模块的设计工作。这个部分着重介绍各个模块的设计方法,实现各个模块的过程。在设计完这些模块后,对这些模块的仿真进行了仿真。 第五章是全文的总结,概括了作者在这次毕业设计中的主要工作和课题的意义,同时指出了进一步工作的方向和需要解决的问题。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号