首页> 中文学位 >基于FPGA的NAND Flash控制器系统设计
【6h】

基于FPGA的NAND Flash控制器系统设计

代理获取

目录

封面

声明

中文摘要

英文摘要

插图索引

表格索引

符号对照表

缩略语对照表

目录

第一章 绪论

1.1课题研究背景

1.2半导体存储介绍

1.3课题研究目的和意义

1.4课题研究内容和结构

第二章 NAND Flash存储芯片结构分析及时序分析

2.1 NAND Flash工作原理

2.2 NAND Flash组成结构

2.3 NAND Flash接口信号

2.4 NAND Flash基本操作时序分析

2.5 NAND Flash命令操作时序分析

2.6本章小结

第三章 NAND Flash控制器的设计

3.1系统需求分析与方案制定

3.2数据缓存模块

3.3命令控制模块

3.4时序控制模块

3.5读写内部计数模块

3.6数据校验模块

3.7本章小结

第四章 NAND Flash的坏块检测与处理

4.1坏块成因

4.2坏块检测

4.3坏块处理

4.4本章小结

第五章 NAND Flash控制器功能验证

5.1 NAND Flash验证方法

5.2仿真平台搭建

5.3仿真结果分析

5.4 NAND Flash控制器板级验证

5.5本章小结

第六章 总结与展望

参考文献

致谢

作者简介

展开▼

摘要

随着信息技术的发展,当今社会对于数据存储的需求越来越高。NAND Flash因其具有容量大,成本低,寿命长等特点,被广泛的用作数据储存的解决方案。FPGA逻辑资源丰富,能够并行处理多个模块,其优势可在大规模图像处理领域得到充分发挥。因此,设计一款集成于FPGA系统内的NAND Flash控制器有着良好的应用前景。
  本文讨论了应用于超大规模图像处理系统的数据储存解决方案。分析了NAND Flash的工作机理,讨论了行业内常见NAND Flash的组成结构和通用接口。通过对NAND Flash存取方式以及时序规范的分析,制定了一套NAND Flash控制器的解决方案。基于自顶向下的设计方法,对控制器进行模块划分,通过各个模块的相互配合实现对NAND Flash的操作。
  控制器完成了NAND Flash读,擦除,编程等操作的实现,并加入各操作的检错及纠错机制。通过VHDL编写RTL级代码,完成了命令控制,时序控制,数据缓存,内部地址产生,ECC生成及校验等模块的设计。对于NAND Flash不可避免的坏块问题,本文详细分析了其产生原因,制定了适用于本课题的坏块检测方法。利用FPGA内部资源创建坏块信息表的方式,对操作中的坏块进行地址屏蔽处理,以提高存储系统的可靠性。
  本文给出了NAND Flash控制器的验证方案。搭建了仿真平台,添加了适当的测试激励,利用ModelSim仿真软件对控制器的功能进行验证。在Xilinx ISE design suite12.2平台上,完成了基于Xilinx FPGA的NAND Flash控制器的综合以及实现,在功能和时序上达到工程要求指标。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号