首页> 中文学位 >一种基于UVM和AXI接口的通用验证环境
【6h】

一种基于UVM和AXI接口的通用验证环境

代理获取

目录

声明

插图索引

表格索引

符号对照表

缩略语对照表

第一章 绪论

1.1背景介绍

1.2国内外发展现状

1.3本文主要内容

1.4本文组织结构

第二章 验证方法学简介

2.1 System Verilog简介

2.2 UVM验证环境的组成结构

2.3 UVM基本类

2.4 UVM的phase机制

2.5 UVM的config_db机制

2.6 UVM的Sequence机制

2.7 UVM的寄存器模型

2.8本章小结

第三章 AXI互联接口

3.1 AXI协议简介

3.2 AXI互联接口

3.3验证需求及策略

3.4本章小结

第四章 基于UVM的AXI验证环境搭建

4.1统一AXI模块和后续模块的验证环境结构

4.2 AXI Interconnector验证环境中各个组件的实现

4.3本章小结

第五章 仿真结果分析

5.1 Questasim简介

5.2仿真验证

5.3仿真log输出

5.4仿真输出波形分析

5.5 AXI功能覆盖率

5.6本章小结

第六章 总结与展望

6.1总结

6.2展望

附录A

参考文献

致谢

作者简介

展开▼

摘要

随着集成电路工艺的发展,芯片的集成度越来越高。伴随而来的是验证的复杂度也显著增大,验证工作已经占据了芯片设计过程中70%甚至更多的工作量,然而一次投片成功的概率却越来越低。传统验证方法主要以定向测试为主,编写出定向的测试激励、通过工具查看设计的代码、条件等覆盖率。达到要求指标,即认为验证是充分的。然而这种验证方法已经不能满足现在对芯片验证的需求。如何提高芯片验证的质量和效率已经成为业内一个重要研究方向。本文采用的UVM综合了众多验证方法学的精华,是由业内巨头根据自身研发的需求联合发布的一种通用的验证方法学,具有自动化、灵活、可重用等优势,代表着验证技术的最新进展。
  本研究深入分析了实习项目中AXI互联接口的模块特性,并且总结了项目中对该模块以及后续模块的验证需求,采取了基于UVM搭建AXI互联接口的验证环境的策略。通过分析模块的特点,采用System Verilog建立相应行为模型,并且对传输内容进行事务级建模。加入各个验证组件,连接验证组件并进行了仿真和收集覆盖率,分析结果表明单个功能点的覆盖率均达到100%,总体功能覆盖率达到93%,达到了覆盖率要求。随后,根据本项目中的模块特性以及未来验证环境结构总结出了一套适用于本项目的基本类库,用来作为后续验证组件的基本类库。给出了一个适用于各模块并且可以在系统级验证中复用的通用验证环境。基于UVM方法学搭建的验证平台和相应的验证策略已经在后续项目中得到成功运用,其中本文中介绍的 AXI互联接口的验证环境在模块级的验证和子系统级的验证中得以复用,并且该验证环境的结构和大部分代码在后续的 IFFT模块、AC调整模块以及Beamforming等模块的验证中得以应用。统计结果显示,35%的代码可以在多个模块之间复用,在保证验证可靠性的基础上,搭建验证环境的周期减少了20%。充分证明了采用UVM搭建验证环境可以提高环境的灵活性和重用性,能够节约时间和人力,从而降低芯片验证的成本。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号