首页> 中文学位 >CCD图像的颜色插值算法研究及其FPGA实现
【6h】

CCD图像的颜色插值算法研究及其FPGA实现

代理获取

目录

文摘

英文文摘

声明

第1章 绪论

1.1 课题研究的背景和意义

1.2 Bayer型CFA及插值

1.3 FPGA用于图像处理的优势

1.4 论文来源及内容安排

第2章 插值算法的研究及改进

2.1 常用插值算法的分析和比较

2.2 改进的插值算法

2.2.1 边界方向的判断

2.2.2 平滑的仲裁方法

2.2.3 插值运算公式的确定

2.3 改进算法的硬件可行性研究

2.3.1 硬件资源需求分析

2.3.2 计算复杂度分析

2.4 本章小结

第3章 改进算法在图像采集中的应用

3.1 软件结构及模块划分

3.2 图像采集模块的设计

3.2.1 传感器初始化模块设计

3.2.2 采集控制模块设计

3.3 图像处理模块的设计

3.3.1 数据输入与缓存

3.3.2 邻域窗口的数据获取

3.3.3 特殊像素点的处理

3.3.4 梯度计算的流水线设计

3.3.5 插值运算的时序控制

3.4 时序设计总结

3.5 本章小结

第4章 算法性能仿真及硬件运行效果

4.1 算法的MATLAB仿真

4.1.1 仿真图片的选取

4.1.2 性能指标及仿真结果

4.2 算法的硬件运行

4.2.1 硬件平台的设计和搭建

4.2.2 算法运行效果及结论

4.3 本章小结

第5章 工作总结与展望

5.1 全文工作总结

5.2 下一步的研究展望

致谢

参考文献

攻读硕士学位期间发表的论文

展开▼

摘要

论文研究了基于Bayer格式的CCD原始图像的颜色插值算法,并将设计的改进算法应用到以FPGA为核心的图像采集前端。出于对成本和体积的考虑,一般的数字图像采集系统采用单片CCD或CMOS图像传感器,然后在感光表面覆盖一层颜色滤波阵列(CFA),经过CFA后每个像素点只能获得物理三基色(红、绿、蓝)其中一种分量,形成马赛克图像。为了获得全彩色图像,就要利用周围像素点的值近似地计算出被滤掉的颜色分量,称这个过程为颜色插值。由于当前对图像采集系统的实时性要求越来越高,业内已经开始广泛采用FPGA来进行图像处理,充分发挥硬件并行运算的速度优势,以求在处理速度和成像质量两方面均达到满意的效果。。主要的工作内容如下:
   本文首先介绍了彩色滤波阵列、图像色彩恢复和插值算法的概念,然后分析和研究了当下常用的颜色插值算法,如双线性插值算法、加权系数法等等,指出了各个算法的特点和不足;接下来针对硬件系统并行运算的特性和实时性处理的要求,结合其中两种算法的思路设计了适用于硬件的改进算法,该算法主要引入了方向标志位的概念以及平滑的边界仲裁法则来检测边界,借鉴利用梯度的三角函数关系来判断边界方向,通过简化且适用于硬件的方法计算加权系数,从而选择合适的方向进行插值。
   在介绍了FPGA用于图像处理的优势后,针对FPGA的特点采用模块化结构设计,详细阐述了本文算法的软件实现过程及所使用到的关键技术;文章设计了一个以FPGA为核心的前端图像采集平台,并将改进插值算法应用到整个系统当中。详细分析了采集前端的硬件需求,讨论了核心芯片的选型和硬件平台设计中的注意事项,完成了印制电路板的制作。
   文章通过MATLAB仿真得到了量化的性能评估数据,并选取几种算法在硬件平台上运行,得到了实验图片。最后结合图片的视觉效果和仿真数据对几种不同算法的效果进行了评估和比较,证明改进的算法对图像质量有所增强,取得了良好的效果。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号