首页> 外文会议>Design, Automation Test in Europe Conference Exhibition >Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation
【24h】

Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation

机译:通过动态二进制转换支持运行时可重新配置的VLIWS核心

获取原文

摘要

Single ISA-Heterogeneous multi-cores such as the ARM big.LITTLE have proven to be an attractive solution to explore different energy/performance trade-offs. Such architectures combine Out of Order cores with smaller in-order ones to offer different power/energy profiles. They however do not really exploit the characteristics of workloads (compute-intensive vs. control dominated). In this work, we propose to enrich these architectures with runtime configurable VLIW cores, which are very efficient at compute-intensive kernels. To preserve the single ISA programming model, we resort to Dynamic Binary Translation, and use this technique to enable dynamic code specialization for Runtime Reconfigurable VLIWs cores. Our proposed DBT framework targets the RISC-V ISA, for which both OoO and in-order implementations exist. Our experimental results show that our approach can lead to best-case performance and energy efficiency when compared against static VLIW configurations.
机译:单个ISA-异质多芯,如ARM Big.Little已被证明是一种有吸引力的解决方案,以探索不同的能量/性能权衡。此类架构与较小的按顺序的核心结合起来,以提供不同的功率/能量配置文件。然而,它们并没有真正利用工作负载的特征(计算​​密集型与控制主导地位)。在这项工作中,我们建议使用运行时可配置的VLIW内核丰富这些架构,这些架构在计算密集的内核中非常有效。为了保留单个ISA编程模型,我们求助于动态二进制翻译,并使用此技术为运行时可重新配置VLIWS核心启用动态代码专业化。我们所提出的DBT框架针对RISC-V ISA,其中ooO和秩序实现都存在。我们的实验结果表明,与静态VLIW配置相比,我们的方法可能导致最佳情况和能源效率。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号