【24h】

Sub-atmospheric Chemical Vapor Deposition of SiO_2 for Dielectric Layers in High Aspect Ratio TSVs

机译:高纵横比TSV中的介电层SiO_2的亚大气压化学气相沉积

获取原文
获取外文期刊封面目录资料

摘要

The formation of TSVs includes a deep Si trench etching and the formation of a dielectric layer along the high-aspect-ratio Si trench to isolate the filling conductive material from the bulk Si. The isolation of the filling conductor from the silicon substrate becomes more important for higher frequencies due to the high coupling to the silicon. The importance of the oxide thickness on the via wall isolation can be verified using electromagnetic field simulators, such as ANSYS HFSS. A SA-CVD with a pulsed flow of ozone has been developed and used to deposit an isolation oxide to the walls of deep silicon trenches. The technique provides a good coating of the 100 μm depth silicon trenches with the high aspect ratio of 20. Developed technique allows covering the deep silicon trenches by oxide and makes the high isolation of TSVs from silicon substrate feasible which is the key factor for the performance of TSVs for mm-wave 3D packaging.
机译:TSV的形成包括沿着高纵横比Si沟槽的深层沟槽蚀刻和形成介电层,以将填充导电材料与散装Si隔离。由于高耦合到硅,从硅衬底隔离填充导体对较高频率的隔离变得更重要。可以使用电磁场模拟器(例如ANSYS HFS)来验证氧化物厚度在通孔壁隔离上的重要性。已经开发出具有脉冲流动的SA-CVD,并用于将隔离氧化物沉积到深硅沟槽的壁上。该技术提供了具有20的高纵横比的100μm深度硅沟槽的良好涂层。开发技术允许通过氧化物覆盖深硅沟槽,并使来自硅衬底的TSV的高度分离是性能的关键因素用于MM波3D包装的TSV。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号