首页> 外文会议>International Symposium on Microarchitecture >Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks
【24h】

Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks

机译:Xylem:在3D处理器存储器堆叠中增强垂直热传导

获取原文

摘要

In upcoming architectures that stack processor and DRAM dies, temperatures are higher because of the increased transistor density and the high inter-layer thermal resistance. However, past research has underestimated the extent of the thermal bottleneck. Recent experimental work shows that the Die-to-Die (D2D) layers hinder effective heat transfer, likely leading to the capping of core frequencies. To address this problem, in this paper, we first show how to create pillars of high thermal conduction from the processor die to the heat sink. We do this by aligning and shorting dummy D2D μbumps with thermal TSVs (TTSVs). This lowers processor temperatures substantially. We then improve application performance by boosting the processor frequency until we consume the available thermal headroom. Finally, these aligned and shorted dummy μbump-TTSV sites create die regions of higher vertical thermal conduction. Hence, we propose to leverage them with three new architectural techniques: conductivity-aware thread placement, frequency boosting, and thread migration. We evaluate our scheme, called Xylem, using simulations of an 8-core processor at 2.4 GHz and 8 DRAM dies on top. μBumpTTSV alignment and shorting in a generic and in a customized Xylem design enable an average increase in processor frequency of 400 MHz and 720 MHz, respectively, at an area overhead of 0.63% and 0.81%, and without exceeding acceptable temperatures. This improves average application performance by 11% and 18%, respectively. Moreover, applying Xylem's conductivity-aware techniques enables further gains.
机译:在即将到来的架构中,由于晶体管密度增加和高层间热阻,温度较高。然而,过去的研究已经低估了热瓶颈的程度。最近的实验工作表明,模芯(D2D)层阻碍有效的热传递,可能导致核心频率的封顶。为了解决这个问题,在本文中,我们首先展示了如何创建从处理器模具到散热器的高热传导柱。我们通过用热TSVS(TTSV)对齐和短路D2Dμbump来实现这一点。这降低了基本上的处理器温度。然后,我们通过提高处理器频率,提高应用程序性能,直到我们消耗可用的热量净空。最后,这些对齐和短路的虚设μbump-TTSV位点产生更高垂直热传导的模具区域。因此,我们建议利用三种新的架构技术利用它们:导电性感知线程放置,频率升压和线程迁移。我们评估我们的计划,称为Xylem,使用8核处理器的模拟,在2.4 GHz和8个DRAM上的顶部。 μbumpttsv对准和在通用和定制的木耳设计中短路,分别在400MHz和720MHz的处理器频率下平均增加,区域开销为0.63%和0.81%,而不超过可接受的温度。这分别提高了平均应用程序性能11%和18%。此外,应用木门的电导率感知技术可以进一步提升。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号