首页> 外文会议>IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems >A dynamic reliability management framework for heterogeneous multicore systems
【24h】

A dynamic reliability management framework for heterogeneous multicore systems

机译:异构多核系统的动态可靠性管理框架

获取原文

摘要

Dynamic Reliability Management (DRM) is an attractive system-level approach to mitigate the effects of aging and degradation phenomena in systems equipped with multiple computing resources, trading performance to improve lifetime reliability and/or power efficiency. In this paper, we propose a novel DRM controller for Heterogeneous System Architectures running on the top of Linux Operating System able to dynamically adapt to the system conditions and workload characteristics, leveraging on the applications' performance, power consumption and system lifetime. Based on the user's goal, the framework can be exploited to implement DRM policies optimizing the desired trade-off between the identified metrics. The implementation of the controller on the Samsung Exynos 5 architecture is reported together with the evaluation of a state-of-the-art mapping policy here enhanced to be reliability-aware.
机译:动态可靠性管理(DRM)是一种有吸引力的系统级方法,可以减轻配备有多个计算资源的系统中的老化和退化现象的影响,并通过交易性能来提高使用寿命和/或电源效率。在本文中,我们为运行在Linux操作系统之上的异构系统体系结构提出了一种新颖的DRM控制器,该控制器能够动态地适应系统条件和工作负载特征,并充分利用应用程序的性能,功耗和系统寿命。基于用户的目标,可以利用该框架来实施DRM策略,以优化所标识度量之间的期望折衷。报告了在三星Exynos 5架构上控制器的实现以及对此处最新增强的映射策略的评估,这些映射增强了可靠性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号