首页> 外文会议>International Conference on Innovative Trends in Computer Engineering >On Network Systems Design: Pushing the Performance Envelope via FPGA Prototyping
【24h】

On Network Systems Design: Pushing the Performance Envelope via FPGA Prototyping

机译:关于网络系统设计:通过FPGA原型开发提高性能范围

获取原文

摘要

Performance studies of computer network systems traditionally relied on mathematical modelling. Then, to deal with the increasingly complex systems in a meaningful manner, simulation and emulation tools have been developed to circumvent the intractability or the oversimplification that comes with mathematical methods. However, such emulation methods still make many simplifying assumptions as they are incapable of capturing the real system in its totality. Recently, Field Programmable Gate Array (FPGA) based prototyping systems have become an affordable option for researchers as an easy and quick tool for network system prototyping. In this paper, we motivate system prototyping based research via FPGA in conducting research experiments as well as facilitating new system designs where some traditional software functions are offloaded to the FPGA. We present a new switch prototype and preliminary results from its deployment in a real testbed.
机译:传统上,计算机网络系统的性能研究依赖于数学建模。然后,为了以有意义的方式处理日益复杂的系统,开发了仿真和仿真工具来规避数学方法带来的难处理性或过分简化。但是,由于这种仿真方法无法捕获整个真实系统,因此仍然做出许多简化的假设。最近,基于现场可编程门阵列(FPGA)的原型系统已成为研究人员可负担的选择,它是网络系统原型的便捷工具。在本文中,我们通过FPGA进行基于系统原型的研究,以进行研究实验,并促进将一些传统软件功能转移到FPGA的新系统设计。我们提供了一个新的交换机原型以及在实际测试平台中部署的初步结果。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号