首页> 外文会议>IEEE International Electron Devices Meeting >Adding the missing time-dependent layout dependency into device-circuit-layout co-optimization ¿¿¿ New findings on the layout dependent aging effects
【24h】

Adding the missing time-dependent layout dependency into device-circuit-layout co-optimization ¿¿¿ New findings on the layout dependent aging effects

机译:将缺少的与时间有关的布局相关性添加到设备电路布局的协同优化中

获取原文

摘要

In this paper, a new class of layout dependent effects (LDE)¿¿¿the time-dependent layout dependency due to device aging, is reported for the first time. The BTI and HCI degradation in nanoscale HKMG devices are experimentally found to be sensitive to layout configurations, even biased at the same stress condition. This new effect of layout dependent aging (LDA) can significantly mess the circuit design, which conventionally only includes the static LDE modeled for time-zero performance. Further studies at circuit level indicate that, for resilient device-circuit-layout co-design, especially to ensure enough design margin near the end of life, LDA cannot be neglected. The results are helpful to guide the cross-layer technology/design co-optimization.
机译:在本文中,首次报道了一类新的依赖于设备时效的依赖于布局的效果(LDE)随时间变化的布局。通过实验发现,纳米HKMG器件中的BTI和HCI降解对布局配置敏感,甚至在相同的应力条件下也有偏差。这种依赖于布局的老化(LDA)的新效果可能会严重破坏电路设计,该电路设计通常仅包括针对零时性能建模的静态LDE。在电路层面的进一步研究表明,对于有弹性的设备-电路布局协同设计,尤其是为了确保在使用寿命即将结束时有足够的设计余量,LDA不可忽略。结果有助于指导跨层技术/设计的共同优化。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号