首页> 外文会议>International Conference on Cloud Computing and Big Data >Latency-Aware Dynamic Voltage and Frequency Scaling on Many-Core Architectures for Data-Intensive Applications
【24h】

Latency-Aware Dynamic Voltage and Frequency Scaling on Many-Core Architectures for Data-Intensive Applications

机译:用于数据密集型应用的多核体系结构上的延迟感知动态电压和频率缩放

获取原文
获取外文期刊封面目录资料

摘要

Low power is the first-class design requirement for HPC systems. Dynamic voltage and frequency scaling (DVFS) has become the commonly used and efficient technology to achieve a trade-off between power consumption and system performance. However, most the prior work using DVFS did not take into account the latency of voltage/frequency scaling, which is a critical factor in real hardware determining the power efficiency of the power management algorithm. This paper, firstly, investigate the latency features of DVFS on a real many-core hardware platform. Secondly, we propose a latency-aware DVFS algorithm for profile-based power management to avoid aggressive power state transitions. At last, we evaluate our algorithm on Intel SCC platform using a data-intensive benchmark, Graph 500 benchmark. The experimental results not only show impressive potential for energy saving in data-intensive applications (up to 31% energy saving and 60% EDP reduction), but also evaluate the efficiency of our latency-aware DVFS algorithm which achieves 12.0% extra energy saving and 5.0% extra EDP reduction, moreover, increases the execution performance by 22.4%.
机译:低功耗是HPC系统的一流设计要求。动态电压和频率缩放(DVFS)已成为在功耗与系统性能之间进行权衡的常用且有效的技术。但是,大多数使用DVFS的现有工作都没有考虑电压/频率缩放的延迟,这是确定电源管理算法的电源效率的实际硬件中的关键因素。本文首先研究了真正的多核硬件平台上DVFS的延迟特性。其次,我们为基于配置文件的电源管理提出了一种等待时间感知的DVFS算法,以避免激进的电源状态转换。最后,我们使用数据密集型基准测试(Graph 500基准测试)在英特尔SCC平台上评估我们的算法。实验结果不仅表明在数据密集型应用中具有惊人的节能潜力(节能高达31%,EDP降低60%),而且还评估了我们的延迟感知DVFS算法的效率,该算法可实现12.0%的额外节能和此外,EDP减少了5.0%,执行性能提高了22.4%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号