首页> 外文会议>International Conference on Computer Safety, Reliability, and Security >A Functional Verification Methodology for Highly Parametrizable, Continuously Operating Safety-Critical FPGA Designs: Applied to the CERN RadiatiOn Monitoring Electronics (CROME)
【24h】

A Functional Verification Methodology for Highly Parametrizable, Continuously Operating Safety-Critical FPGA Designs: Applied to the CERN RadiatiOn Monitoring Electronics (CROME)

机译:高度可参数化,连续运行且对安全至关重要的FPGA设计的功能验证方法:应用于CERN辐射监测电子设备(CROME)

获取原文

摘要

Electronic systems that are related to human safety need to comply to strict international standards such as the IEC 61508. We present a functional verification methodology for highly parametrizable, continuously operating, safety-critical real-time systems implemented in FPGAs. It is compliant to IEC 61508 and extends it in several ways. We focus on independence between design and verification. Natural language properties and the functional coverage model build the connection between system safety requirements and verification results, providing forward and backward traceability. Our main verification method is Formal Property Verification (FPV), even for Safety Integrity Level 1 and 2. Further, we use constrained-random simulation in SystemVerilog with the Universal Verification Methodology and a design independent C reference model. When faults are discovered, the coverage model is extended to avoid regressions. Automation allows the reproduction of results and the reuse of verification code. We evaluate our methodology on a subset of the newly developed CERN RadiatiOn Monitoring Electronics (CROME). We present the challenges we faced and propose solutions. Although it is impossible to simulate the full design exhaustively, several formal properties have been fully proven. With FPV we found some safety-critical faults that would have been extremely hard to find in simulation.
机译:与人身安全相关的电子系统需要符合IEC 61508等严格的国际标准。我们提供了一种功能验证方法,用于在FPGA中实现的高度可参数化,连续运行,对安全至关重要的实时系统。它符合IEC 61508并以多种方式扩展。我们专注于设计和验证之间的独立性。自然语言属性和功能覆盖模型在系统安全要求和验证结果之间建立了联系,从而提供了向前和向后的可追溯性。我们的主要验证方法是形式属性验证(FPV),即使对于安全完整性级别1和2也是如此。此外,我们在SystemVerilog中使用具有通用验证方法和设计独立的C参考模型的约束随机模拟。当发现故障时,扩展覆盖模型以避免回归。自动化允许结果的复制和验证码的重用。我们在新开发的CERN辐射监测电子设备(CROME)的子集上评估我们的方法。我们提出了我们面临的挑战并提出了解决方案。尽管不可能详尽地模拟整个设计,但已充分证明了几种形式属性。使用FPV,我们发现了一些在仿真中很难发现的安全关键故障。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号