首页> 外文会议>IEEE International Symposium on High Performance Computer Architecture >NACHOS: Software-Driven Hardware-Assisted Memory Disambiguation for Accelerators
【24h】

NACHOS: Software-Driven Hardware-Assisted Memory Disambiguation for Accelerators

机译:NACHOS:用于加速器的软件驱动的硬件辅助内存消除歧义

获取原文

摘要

Hardware accelerators have relied on the compiler to extract instruction parallelism but may waste significant energy in enforcing memory ordering and discovering memory parallelism. Accelerators tend to either serialize memory operations [43] or reuse power hungry load-store queues (LSQs) [8], [27]. Recent works [11], [15] use the compiler for scheduling but continue to rely on LSQs for memory disambiguation. NACHOS is a hardware assisted software-driven approach to memory disambiguation for accelerators. In NACHOS, the compiler classifies pairs of memory operations as NO alias (i.e., independent memory operations), MUST alias (i.e., ordering required), or MAY alias (i.e., compiler uncertain). We developed a compiler-only approach called NACHOS-SW that serializes memory operations both when the compiler is certain (MUST alias) and uncertain (MAY alias). Our study analyzes multiple stages of alias analysis on 135 acceleration regions extracted from SPEC2K, SPEC2k6, and PARSEC. NACHOS-SW is en- ergy efficient, but serialization limits performance; 18%-100% slowdown compared to an optimized LSQ. We then proposed NACHOS a low-overhead, scalable, hardware comparator assist that dynamically verifies MAY alias and executes independent memory operations in parallel. NACHOS is a pay-as-you-go approach where the compiler filters out memory operations to save dynamic energy, and the hardware dynamically checks to find MLP. NACHOS achieves performance comparable to an optimized LSQ; in fact, it improved performance in 6 benchmarks(6%-70%) by reducing load-to-use latency for cache hits. NACHOS imposes no energy overhead in 15 out of 27 benchmarks i.e., compiler accurately determines all memory dependencies; the average energy overhead is ≃6% of total (accelerator and L1 cache); in comparison, an optimized LSQ consumes 27% of total energy. NACHOS is released as free and open source software. Github: https://github.com/sfu-arch/ nachos.
机译:硬件加速器已经依靠编译器来提取指令并行性,但是在执行内存排序和发现内存并行性时可能会浪费大量精力。加速器倾向于序列化内存操作[43]或重用耗电的负载存储队列(LSQ)[8],[27]。最近的工作[11],[15]使用编译器进行调度,但继续依赖LSQ来消除内存歧义。 NACHOS是一种硬件辅助的软件驱动方法,可消除加速器的内存歧义。在NACHOS中,编译器将成对的内存操作分类为NO别名(即独立的内存操作),MUST别名(即需要排序)或MAY别名(即编译器不确定)。我们开发了一种仅编译器的方法,称为NACHOS-SW,该方法在编译器确定(必须为别名)和不确定(可以为MAY别名)时对内存操作进行序列化。我们的研究分析了从SPEC2K,SPEC2k6和PARSEC中提取的135个加速区域的别名分析的多个阶段。 NACHOS-SW具有能源效率,但是序列化限制了性能。与优化的LSQ相比,降低了18 \%-100 \%。然后,我们提出了NACHOS低开销,可扩展的硬件比较器辅助功能,该功能可动态验证MAY别名并并行执行独立的内存操作。 NACHOS是一种即用即付的方法,其中编译器过滤掉内存操作以节省动态能量,而硬件则动态检查以查找MLP。 NACHOS的性能可与优化的LSQ相提并论;实际上,它通过减少缓存命中的使用负载延迟提高了6个基准测试(6 \%-70 \%)的性能。 NACHOS在27个基准测试中的15个中没有施加任何能量开销,即编译器准确确定了所有内存依赖关系;平均能耗为总能耗的≃6%(加速器和一级高速缓存);相比之下,优化的LSQ消耗总能量的27%。 NACHOS是作为免费和开源软件发布的。 Github:https://github.com/sfu-arch/玉米片

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号