首页> 外文会议>IEEE International New Circuits and Systems Conference >A reference-based specification tool for creating reliable library development specifications
【24h】

A reference-based specification tool for creating reliable library development specifications

机译:基于参考的规范工具,用于创建可靠的库开发规范

获取原文

摘要

Library development requires a wide variety of information independent of cells such as Process-Voltage-Temperature (PVT) corners and CAD tools' information. With technology scaling, such information increases continuously. Dealing with the specifications containing this information is therefore a crucial issue for library providers due to the great impact of the specification reliability on the quality of library. However, its definition is manually carried out depending upon the expertise of specification developers. It may lead to some specification problems such as inconsistency and incompleteness. In this paper, a specification language based on eXtensible Markup Language (XML) is used to encapsulate all cell-independent information for library development. We propose a reference-based specification tool to achieve reliable specifications. The experimental results show that it permits to efficiently and rapidly create complete and consistent specifications for library development in comparison to the manual method.
机译:库开发需要独立于单元的多种信息,例如过程电压温度(PVT)角和CAD工具的信息。随着技术的扩展,此类信息不断增加。因此,由于规范可靠性对图书馆质量的巨大影响,因此处理包含此信息的规范对于图书馆提供者而言是至关重要的问题。但是,其定义是根据规范开发人员的专业知识手动执行的。这可能会导致一些规范问题,例如不一致和不完整。在本文中,基于可扩展标记语言(XML)的规范语言用于封装所有与单元无关的信息以进行库开发。我们提出了一种基于参考的规范工具,以实现可靠的规范。实验结果表明,与手动方法相比,它可以有效,快速地创建用于图书馆开发的完整且一致的规范。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号