首页> 外文会议>IEEE International Symposium on Asynchronous Circuits and Systems >Tiempo Asynchronous Circuits System Verilog Modeling Language
【24h】

Tiempo Asynchronous Circuits System Verilog Modeling Language

机译:Tiempo异步电路系统Verilog建模语言

获取原文
获取外文期刊封面目录资料

摘要

This paper describes the System Verilog modeling language developed by Tiempo to design asynchronous circuits. The language enables designers to model, verify and debug asynchronous circuits using standard simulators, viewers and debuggers. The paper first highlights how the concept of communication channel is supported and how System Verilog is used to declare channels and ports, reading and writing ports and testing port's activity. The different memorization semantics associated with channels are addressed. Modeling and designing asynchronous circuit architectures using channels is then presented taking advantage of System Verilog modules and processes. The modeling of distributed and concurrent asynchronous circuits using the concepts defined in Tiempo System Verilog language is then described. An illustrative example shows the efficiency of the language as well as its ease-of-use.
机译:本文介绍了Tiempo开发的系统Verilog建模语言,以设计异步电路。该语言使设计人员能够使用标准模拟器,查看器和调试器来设计,验证和调试异步电路。本文首先突出了支持通信信道的概念以及系统Verilog如何用于声明通道和端口,读写端口以及测试端口的活动。与频道相关联的不同记忆语义是解决的。然后介绍使用频道的模拟和设计异步电路架构,利用系统Verilog模块和流程。然后描述使用Tiempo System Verilicog语言中定义的概念的分布式和并发异步电路的建模。说明性示例显示了语言的效率以及其易用性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号