首页> 外文会议>IEEE international conference on computer science and information technology >Enhancing Classroom Experience for Computer Architecture Education with FPGAs
【24h】

Enhancing Classroom Experience for Computer Architecture Education with FPGAs

机译:使用FPGA增强计算机体系结构教育的课堂体验

获取原文
获取外文期刊封面目录资料

摘要

Computer architecture is often taught by using software to design and simulate hardware modules and then using individual components to implement them. Our aim in this paper is to share our teaching experience of this subject in a way to enhance student learning outcome by developing projects for the computer architecture lab to help students better understand the theoretical concepts of the subject and to gain hands-on type of experience and apply that for more realistic projects. As a result, we have noticed that students show better understanding of the subject over the last few semesters. We present in this work an ALU computer module design exercise as we used it in our computer architecture course in the Department of Computer Science and Engineering at Qatar University. The approach can be well adopted for a first course in digital logic design, computer organization, and/or computer architecture. In specific, we designed and implemented an 8-bit arithmetic and logic unit, which performs 14 different arithmetic and logic operations. We did the design, simulation, and FPGAbased implementation of the proposed ALU module using QUAKTUS II design software and Altera DK2 FPGA Board.
机译:通常通过使用软件来设计和模拟硬件模块,然后使用单个组件来实现它们来教授计算机体系结构。我们在本文中的目的是通过开发计算机体系结构实验室的项目来帮助学生更好地理解该学科的理论概念并获得实践经验,从而分享该学科的教学经验,从而提高学生的学习成果。并将其应用于更现实的项目。结果,我们注意到在过去的几个学期中,学生对这个科目表现出了更好的理解。在这项工作中,我们介绍了在卡塔尔大学计算机科学与工程系的计算机体系结构课程中使用的ALU计算机模块设计练习。该方法可以很好地用于数字逻辑设计,计算机组织和/或计算机体系结构的第一门课程。具体来说,我们设计并实现了一个8位算术和逻辑单元,该单元执行14种不同的算术和逻辑运算。我们使用QUAKTUS II设计软件和Altera DK2 FPGA开发板对设计的ALU模块进行了设计,仿真和基于FPGA的实现。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号