首页> 外文会议>2011 16th Asia and South Pacific Design Automation Conference >Network flow-based simultaneous retiming and slack budgeting for low power design
【24h】

Network flow-based simultaneous retiming and slack budgeting for low power design

机译:基于网络流的同时重定时和低预算的低功耗设计

获取原文

摘要

Low power design has become one of the most significant requirements when CMOS technology entered the nanometer era. Therefore, timing budget is often performed to slow down as many components as possible so that timing slacks can be applied to reduce the power consumption while maintaining the performance of the whole design. Retiming is a procedure that involves the relocation of flip-flops (FFs) across logic gates to achieve faster clocking speed. In this paper we show that the retiming and slack budgeting problem can be formulated to a convex cost dual network flow problem. Both the theoretical analysis and experimental results show the efficiency of our approach which can not only reduce power consumption by 8.9%, but also speedup previous work by 500 times.
机译:当CMOS技术进入纳米时代时,低功耗设计已成为最重要的要求之一。因此,通常执行时序预算以减慢尽可能多的组件,以便在保持整个设计性能的同时,可以应用时序松弛来减少功耗。重定时是涉及跨逻辑门的触发器(FF)的重定位以实现更快的时钟速度的过程。在本文中,我们表明可以将重定时和预算松弛问题表述为凸成本双重网络流量问题。理论分析和实验结果均表明,该方法不仅可以降低8.9%的功耗,而且可以将以前的工作速度提高500倍,因此效率很高。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号