首页> 外文会议>The First International Conference on Green Circuits and Systems >Efficient IR drop analysis and alleviation methodologies using dual threshold voltages with gate resizing techniques
【24h】

Efficient IR drop analysis and alleviation methodologies using dual threshold voltages with gate resizing techniques

机译:使用双阈值电压和栅极调整大小技术进行有效的IR压降分析和缓解方法

获取原文

摘要

IR drop impacts circuit delay time and reliability. The IR drop comes from unexpected peak current (Ipeak) consumption. This paper proposes an efficient methodology with in-house EDA tools named IPR to analyze and reduce the Ipeak. IPR adopts dual threshold voltages (Vth) and gate resizing techniques, lowers the short, dynamic and static leakage current consumption without degrading system performance. IPR consists of two parts, i.e. Ipeak analysis and Ipeak alleviation processes. Nonlinear static/dynamic timing analysis techniques in cooperation with dual Vth cell library provide two kinds of accurate Ipeak calculation methods used in IPR. Using the incremental timing analysis, the Ipeak processing time can be accelerated. Demonstration of the ISCAS89 benchmark circuits shows that IPR can reduce Ipeak by 39%, power consumption by 14%, and delay time by 19%. In addition, it provides 334 times faster computation with 2% and 10% estimation errors of the Ipeak and power in gate level, respectively as compared to circuit level simulation results.
机译:IR下降会影响电路延迟时间和可靠性。 IR下降来自意外的峰值电流(Ipeak)消耗。本文提出了一种有效的方法,该方法使用名为IPR的内部EDA工具来分析和减少Ipeak。 IPR采用双阈值电压(Vth)和栅极调整大小技术,可降低短路,动态和静态泄漏电流消耗,而不会降低系统性能。知识产权由两部分组成,即Ipeak分析和Ipeak缓解过程。与双Vth单元库配合使用的非线性静态/动态时序分析技术提供了IPR中使用的两种准确的Ipeak计算方法。使用增量时序分析,可以加快Ipeak处理时间。 ISCAS89基准电路的演示表明,IPR可以将Ipeak降低39%,功耗降低14%,延迟时间降低19%。此外,与电路级仿真结果相比,它的运算速度提高了334倍,Ipeak和门级功率的估计误差分别为2%和10%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号