首页> 外文会议>Design-Process-Technology Co-optimization for Manufacturability VIII >ECO Fill - Automated fill modification to support late-stage design changes
【24h】

ECO Fill - Automated fill modification to support late-stage design changes

机译:ECO填充-自动填充修改以支持后期设计更改

获取原文
获取原文并翻译 | 示例

摘要

One of the most critical factors in achieving a positive return for a design is ensuring the design not only meets performance specifications, but also produces sufficient yield to meet the market demand. The goal of design for manufacturability (DFM) technology is to enable designers to address manufacturing requirements during the design process. While new cell-based, DP-aware, and net-aware fill technologies have emerged to provide the designer with automated fill engines that support these new fill requirements, design changes that arrive late in the tapeout process (as engineering change orders, or ECOs) can have a disproportionate effect on tapeout schedules, due to the complexity of replacing fill. If not handled effectively, the impacts on file size, run time, and timing closure can significantly extend the tapeout process. In this paper, the authors examine changes to design flow methodology, supported by new fill technology, that enable efficient, fast, and accurate adjustments to metal fill late in the design process. We present an ECO fill methodology coupled with the support of advanced fill tools that can quickly locate the portion of the design affected by the change, remove and replace only the fill in that area, while maintaining the fill hierarchy. This new fill approach effectively reduces run time, contains fill file size, minimizes timing impact, and minimizes mask costs due to ECO-driven fill changes, all of which are critical factors to ensuring time-to-market schedules are maintained.
机译:确保设计获得正回报的最关键因素之一是确保设计不仅符合性能规格,而且还能产生足够的成品率以满足市场需求。可制造性(DFM)技术设计的目标是使设计人员能够在设计过程中满足制造要求。虽然出现了新的基于单元的,可感知DP的和可感知网络的填充技术,从而为设计人员提供了支持这些新填充要求的自动填充引擎,但设计变更却在流片过程中后期出现(如工程变更单或ECO) )由于替换填充的复杂性,可能对流片时间表产生不成比例的影响。如果处理不当,对文件大小,运行时间和时序收敛的影响会大大延长流片过程。在本文中,作者研究了在新的填充技术的支持下对设计流程方法的更改,这些更改可以在设计过程的后期对金属填充进行高效,快速和准确的调整。我们提出了一种ECO填充方法,并结合了高级填充工具的支持,这些工具可以快速定位受更改影响的设计部分,仅删除和替换该区域中的填充,同时保持填充层次。这种新的填充方法有效地减少了运行时间,包含填充文件大小,最大程度地减少了时序影响,并最小化了由ECO驱动的填充更改带来的掩模成本,所有这些都是确保维持上市时间时间表的关键因素。

著录项

  • 来源
  • 会议地点 San Jose CA(US)
  • 作者单位

    Mentor Graphics Corp, 8005 SW Boeckman Rd, Wilsonville, OR, USA 97070;

    Mentor Graphics Corp, 8005 SW Boeckman Rd, Wilsonville, OR, USA 97070;

    Mentor Graphics Corp, 11F., No. 120, Sec. 2, Gongdao 5th Rd., Hsinchu City 300 Taiwan, R.O.C.;

    TSMC, No. 25, Li-Hsin Rd., Hsinchu Science Park, Hsinchu City, Taiwan 300-78, R.O.C.;

    TSMC, No. 25, Li-Hsin Rd., Hsinchu Science Park, Hsinchu City, Taiwan 300-78, R.O.C.;

    TSMC, No. 25, Li-Hsin Rd., Hsinchu Science Park, Hsinchu City, Taiwan 300-78, R.O.C.;

  • 会议组织
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

    DFM; ECO Fill; dummy fill; mask density;

    机译:DFM;生态填充虚拟填充掩膜密度;

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号