首页> 外文会议>Design for manufacturability through design-process integration VII >Self-aligned Double Patterning Friendly Configuration for Standard Cell Library Considering Placement Impact
【24h】

Self-aligned Double Patterning Friendly Configuration for Standard Cell Library Considering Placement Impact

机译:考虑位置影响的标准单元库的自对准双图案友好配置

获取原文
获取原文并翻译 | 示例

摘要

Self-aligned double patterning (SADP) has become a promising technique to push pattern resolution limit to sub-22nm technology node. Although SADP provides good overlay controllability, it encounters many challenges in physical design stages to obtain conflict-free layout decomposition. In this paper, we study the impact on placement by different standard cell layout decomposition strategies. We propose a SADP friendly standard cell configuration which provides pre-coloring results for standard cells. These configurations are brought into the placement stage to help ensure layout decomposability and save the extra effort for solving conflicts in later stages.
机译:自对准双图案(SADP)已成为将图案分辨率限制推向22nm以下技术节点的有前途的技术。尽管SADP提供了良好的覆盖层可控性,但在物理设计阶段要获得无冲突的布局分解仍会遇到许多挑战。在本文中,我们研究了不同标准单元格布局分解策略对放置的影响。我们提出了SADP友好的标准单元配置,该配置可为标准单元提供预着色结果。这些配置进入放置阶段,以帮助确保布局可分解性,并节省额外的精力来解决后续阶段的冲突。

著录项

  • 来源
  • 会议地点 San Jose CA(US)
  • 作者单位

    ECE Dept. Univ. of Texas at Austin, Austin, TX USA 78712;

    ECE Dept. Univ. of Texas at Austin, Austin, TX USA 78712;

    ECE Dept. Univ. of Texas at Austin, Austin, TX USA 78712,Institute of Microelectronics, Peking University, Beijing, China 100871;

    ECE Dept. Univ. of Texas at Austin, Austin, TX USA 78712;

  • 会议组织
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

  • 入库时间 2022-08-26 14:31:13

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号