首页> 外文会议>Design Automation Conference (DAC), 2012 49th ACM/EDAC/IEEE >HaVOC: A hybrid memory-aware virtualization layer for on-chip distributed ScratchPad and Non-Volatile Memories
【24h】

HaVOC: A hybrid memory-aware virtualization layer for on-chip distributed ScratchPad and Non-Volatile Memories

机译:HaVOC:用于片上分布式ScratchPad和非易失性内存的混合内存感知虚拟化层

获取原文
获取原文并翻译 | 示例

摘要

Hybrid on-chip memories that combine Non-Volatile Memories (NVMs) with SRAMs promise to mitigate the increasing leakage power of traditional on-chip SRAMs. We present HaVOC: a run-time memory manager that virtualizes the hybrid on-chip memory space and supports efficient sharing of distributed ScratchPad Memories (SPMs) and NVMs. HaVOC allows programmers and the compiler to partition the application's address space and generate data/instruction block layouts considering virtualized hybrid address spaces. We define a data volatility metric used by our hybrid memory-aware compilation flow to generate memory allocation policies that are enforced at run-time by a filter-inspired dynamic memory algorithm. Our experimental results with a set of embedded benchmarks executing simultaneously on a Chip-Multiprocessor with hybrid NVM/SPMs show that HaVOC is able to reduce execution time and energy by 60.8% and 74.7% respectively with respect to traditional multitasking based SPM allocation policies.
机译:将非易失性存储器(NVM)与SRAM结合在一起的混合片上存储器有望减轻传统片上SRAM不断增加的泄漏功率。我们介绍HaVOC:一种运行时内存管理器,可虚拟化混合片上内存空间并支持分布式ScratchPad内存(SPM)和NVM的有效共享。 HaVOC允许程序员和编译器对应用程序的地址空间进行分区,并考虑虚拟化的混合地址空间来生成数据/指令块布局。我们定义了数据波动性度量,我们的混合内存感知编译流使用它来生成内存分配策略,这些策略在运行时由过滤器启发式动态内存算法强制执行。我们的实验结果是,在具有混合NVM / SPM的芯片多处理器上同时执行一组嵌入式基准测试,结果表明,与传统的基于多任务的SPM分配策略相比,HaVOC可以分别减少60.8%和74.7%的执行时间和精力。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号