掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
ACM/EDAC/IEEE Design Automation Conference
ACM/EDAC/IEEE Design Automation Conference
召开年:
2013
召开地:
Austin, TX(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
One-shot calibration of rf circuits based on non-intrusive sensors
机译:
基于非侵入式传感器的RF电路的一次性校准
作者:
Andraud Martin
;
Stratigopoulos Haralampos-G.
;
Simeu Emmanuel
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Analog/RF IC calibration and testing;
non-intrusive sensors;
2.
Approximate property checking of mixed-signal circuits
机译:
混合信号电路的近似特性检查
作者:
Mukherjee Parijat
;
Amin Chirayu S.
;
Li Peng
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Analog circuits;
Mixed analog digital integrated circuits;
Model checking;
Sampling methods;
Yield estimation;
3.
MASH{fifo}: A Hardware-Based Multiple Cache Simulator for Rapid FIFO Cache Analysis
机译:
MASH {FIFO}:基于硬件的多缓存模拟器,用于快速FIFO缓存分析
作者:
Josef Schneider
;
Jorgen Peddersen
;
Sri Parameswaran
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
4.
C-Mine: Data Mining of Logic Common Cases for Low Power Synthesis of Better-Than-Worst-Case Designs
机译:
C-矿:低功耗合成逻辑常见案例的数据挖掘,更良好的案例设计
作者:
Chen-Hsuan Lin
;
Lu Wan
;
Deming Chen
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Data Mining;
SAT solving;
Scalability;
Common case;
Timing error resilience;
Resynthesis;
Energy efficiency;
5.
Circuit Camouflage Integration for Hardware IP Protection
机译:
硬件IP保护的电路伪装集成
作者:
Ronald P. Cocchi
;
James P. Baukus
;
Lap Wai Chow
;
Bryan J. Wang
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Security;
Design;
Security;
Design;
Camouflage;
Obfuscation;
Reverse Engineering;
Anti-Cloning;
Anti-Counterfeit;
Anti-Tamper;
Anti-Trojan;
6.
TI-TRNG: Technology independent true random number generator
机译:
TI-TRNG:技术独立真正的随机数发生器
作者:
Rahman Md.Tauhidur
;
Xiao Kan
;
Forte Domenic
;
Zhang Xuhei
;
Shi Jerry
;
Tehranipoor Mohammad
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Attacks detection;
Random supply noise;
True random number generator;
Tunable ring oscillator;
7.
ClusRed: Clustering and network reduction based probabilistic optimal power flow analysis for large-scale smart grids
机译:
CLUSRED:大型智能电网的集群和网络缩减概率最佳功率流分析
作者:
Liang Yi
;
Chen Deming
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Smart grid;
clustering;
cumulant;
cyber-physical system;
network reduction;
probabilistic optimal power flow;
8.
Practical Functional and Washing Droplet Routing for Cross-Contamination Avoidance in Digital Microfluidic Biochips
机译:
数字微流体Biochips交叉污染避免的实用功能和洗涤液滴路由
作者:
Qin Wang
;
Yiren Shen
;
Hailong Yao
;
Tsung-Yi Ho
;
Yici Cai
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Algorithm;
Performance;
Design;
Digital microfluidic biochips;
Cross-contamination avoidance;
Droplet routing;
Washing capacity constraint;
9.
Low-cost on-chip structures for combating die and IC recycling
机译:
用于打击模具和IC回收的低成本芯片结构
作者:
Guin Ujjwal
;
Zhang Xuehui
;
Forte Domenic
;
Tehranipoor Mohammad
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Counterfeit ICs;
Design for Anti-Counterfeit;
Recycling;
Remarking;
10.
An HDL-Based System Design Methodology for Multistandard RF SoC's
机译:
基于HDL的Mulistandard RF SoC的系统设计方法
作者:
Aytac Atac
;
Zhimiao Chen
;
Lei Liao
;
Yifan Wang
;
Martin Schleyer
;
Ye Zhang
;
Ralf Wunderlich
;
Stefan Heinen
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
SoC;
RF SoC;
SoC design;
System methodology;
Analog modeling;
SoC verification;
Bluetooth;
Bluetooth Low Energy;
11.
Ultra-low power design of wearable cardiac monitoring systems
机译:
可穿戴心脏监测系统的超低功耗设计
作者:
Braojos Ruben
;
Mamaghanian Hossein
;
Dias Alair
;
Ansaloni Giovanni
;
Atienza David
;
Rincon Francisco J.
;
Murali Srinivasan
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Bio-Medical Signal Processing;
Wearable Embedded Systems;
Wireless Body Sensor Nodes;
12.
C-Mine: Data mining of logic common cases for low power synthesis of Better-Than-Worst-Case designs
机译:
C-矿:低功耗合成逻辑常见案例的数据挖掘,更良好的案例设计
作者:
Lin Chen-Hsuan
;
Wan Lu
;
Chen Deming
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Common case;
Data Mining;
Energy efficiency;
Resynthesis;
SAT solving;
Scalability;
Timing error resilience;
13.
Exploiting shaper context to improve performance bounds of Ethernet AVB networks
机译:
利用整形板上下文,以改善以太网AVB网络的性能范围
作者:
Axer Philip
;
Thiele Daniel
;
Ernst Rolf
;
Diemer Jonas
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
14.
Exploration of GPGPU Register File Architecture Using Domain-wall-shift-write based Racetrack Memory
机译:
基于域 - 墙壁移位写入的播放内存探索GPGPU寄存器文件架构
作者:
Mengjie Mao
;
Wujie Wen
;
Yaojun Zhang
;
Yiran Chen
;
Hai (Helen) Li
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Domain-wall;
Racetrack memory;
GPGPU;
Register file;
15.
Symbolic analysis of dataflow applications mapped onto shared heterogeneous resources
机译:
映射到共享异构资源的数据流应用的符号分析
作者:
Siyoum Firew
;
Geilen Marc
;
Corporaal Henk
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
16.
Hardware-in-the-loop simulation for CPU/GPU heterogeneous platforms
机译:
CPU / GPU异构平台的硬件循环仿真
作者:
Ko Youngsub
;
Kim Taeyoung
;
Yi Youngmin
;
Kim Myungsun
;
Ha Soonhoi
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
CPU/GPU Heterogeneous platform;
HIL Simulation;
Mali GPU;
17.
MATEX: A distributed framework for transient simulation of power distribution networks
机译:
Matex:配电网络瞬态仿真的分布式框架
作者:
Zhuang Hao
;
Weng Shih-Hung
;
Jeng-Hau Lin
;
Cheng Chung-Kuan
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Circuit Simulation;
Distributed Computing;
Krylov Subspace;
Matrix Exponential;
Parallel Processing;
Power Distribution Networks;
Power Grid;
Transient Simulation;
18.
Walking pads: Managing C4 placement for transient voltage noise minimization
机译:
步行垫:管理C4放置瞬态电压噪声最小化
作者:
Wang Ke
;
Meyer Brett H.
;
Zhang Runjie
;
Stan Micrea
;
Skadron Kevin
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Power distribution network;
Power pad allocation;
Voltage noise;
19.
Validation of SoC firmware-hardware flows: Challenges and solution directions
机译:
验证SOC固件 - 硬件流量:挑战和解决方案方向
作者:
Abarbanel Yael
;
Singerman Eli
;
Vardi Moshe Y.
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Debug;
Emulation;
FPGA;
Flows;
Formal Analysis;
Hardware-Software co-validation;
Simulation;
SoC;
Validation;
Virtual Platform;
20.
BTI-induced aging under random stress waveforms: Modeling, simulation and silicon validation
机译:
随机应力波形下的BTI诱导老化:建模,仿真和硅验证
作者:
Sutaria Ketul
;
Ramkumar Athul
;
Zhu Rongjun
;
Rajveev Renju
;
Ma Yao
;
Cao Yu
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Bias Temperature In-stability;
DVS;
Random Stress Waveform;
Reliability Prediction;
21.
Techniques for Foundry Identification
机译:
铸造识别技术
作者:
James B. Wendt
;
Farinaz Koushanfar
;
Miodrag Potkonjak
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
22.
A highly flexible ring oscillator PUF
机译:
高度灵活的环形振荡器PUF
作者:
Mingze Gao
;
Khai Lai
;
Gang Qu
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
23.
Parallel FPGA Routing based on the Operator Formulation
机译:
基于操作员制定的并行FPGA路由
作者:
Yehdhih Ould Mohammed Moctar
;
Philip Brisk
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Algorithms;
Performance;
Design;
Field Programmable Gate Array (FPGA);
Routing;
Routing Resource Graph (RRG);
Maze Expansion;
Irregular Algorithm;
Software Transactional Memory (STM);
24.
Designing Stealthy Trojans with Sequential Logic: A Stream Cipher Case Study
机译:
使用顺序逻辑设计隐身的特洛伊木马:流密码案例研究
作者:
Mukesh Reddy Rudra
;
Nimmy Anna Daniel
;
Varun Nagoorkar
;
David H. K. Hoe
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Hardware trojan;
Stream cipher;
Sequential-based Trojan;
25.
Using a high-level test generation expert system for testing in-car networks
机译:
使用高级测试生成专家系统进行汽车内部网络测试
作者:
Adir Allon
;
Goryachev Alex
;
Greenberg Lev
;
Salman Tamer
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Automotive Testing;
Functional Verification;
Monitors;
Test Generation;
26.
CGPA: Coarse-Grained Pipelined Accelerators
机译:
CGPA:粗粒化管制加速器
作者:
Feng Liu
;
Ghosh Soumyadeep
;
Johnson Nick P.
;
August David I.
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
27.
Exact one-pass synthesis of digital microfluidic biochips
机译:
数字微流体生物芯片的精确合成
作者:
Keszocze Oliver
;
Wille Robert
;
Ho Tsung-Yi
;
Drechsler Rolf
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
28.
On using implied values in EDT-based test compression
机译:
基于EDT的测试压缩中的隐含值
作者:
Gebala Marcin
;
Mrugalski Grzegorz
;
Mukherjee Nilanjan
;
Rajski Janusz
;
Tyszer Jerzy
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Design for testability;
scan-based test;
test data compression;
29.
Fast and accurate thermal modeling and optimization for monolithic 3D ICs
机译:
单片3D IC的快速准确的热建模和优化
作者:
Samal Sandeep Kumar
;
Panth Shreepad
;
Samadi Kambiz
;
Saedi Mehdi
;
Du Yang
;
Lim Sung Kyu
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Modeling;
Monolithic 3D;
Optimization;
Thermal;
30.
QMS: Evaluating the Side-Channel Resistance of Masked Software from Source Code
机译:
QMS:从源代码评估屏蔽软件的侧通道电阻
作者:
Hassan Eldib
;
Chao Wang
;
Mostafa Taha
;
Patrick Schaumont
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Security;
Verification;
Side channel attack;
Differential power analysis;
Countermeasure;
Quantitative masking strength;
SMT solver;
31.
Demand-driven mixture preparation and droplet streaming using digital microfluidic biochips
机译:
使用数字微流体Biochips的需求驱动的混合物制备和液滴流
作者:
Roy Sudip
;
Kumar Srijan
;
Chakrabarti Partha P.
;
Bhattacharya Bhargab B.
;
Chakrabarty Krishnendu
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
32.
Code coverage of assertions using RTL source code analysis
机译:
使用RTL源代码分析代码覆盖断言
作者:
Athavale Viraj
;
Sai Ma
;
Hertz Samuel
;
Vasudevan Shobha
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
assertions;
code coverage;
formal verification;
static analysis;
33.
darkNoC: Designing Energy-Efficient Network-on-Chip with Multi-Vt Cells for Dark Silicon
机译:
Darknoc:设计带有多VT电池的节能网络,用于深色硅
作者:
Haseeb Bokhari
;
Haris Javaid
;
Muhammad Shafique
;
Jorg Henkel
;
Sri Parameswaran
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
34.
Power management through DVFS and dynamic body biasing in FD-SOI circuits
机译:
通过DVFS和FD-SOI电路的动态体偏置电源管理
作者:
Akgul Yeter
;
Puschini Diego
;
Lesecq Suzanne
;
Beigne Edith
;
Miro-Panades Ivan
;
Benoit Pascal
;
Torres Lionel
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
35.
Automatic verification of Floating Point Units
机译:
浮点单元的自动验证
作者:
Krautz Udo
;
Paruthi Viresh
;
Arunagiri Anand
;
Kumar Sujeet
;
Pujar Shweta
;
Babinsky Tina
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
36.
Secure memristor-based main memory
机译:
安全基于忘记的主存储器
作者:
Kannan Sachhidh
;
Karimi Naghmeh
;
Sinanoglu Ozgur
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
37.
Robust and In-Situ Self-Testing Technique for Monitoring Device Aqinq Effects in Pipeline Circuits
机译:
用于监控设备AQINQ在管道电路中的效果的强大和原位自检技术
作者:
Jiangyi Li
;
Mingoo Seok
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Reliability;
Device aging;
Self-test;
On-chip aging monitoring;
Temperature-insensitive design;
38.
ClusRed: Clustering and Network Reduction Based Probabilistic Optimal Power Flow Analysis for Large-Scale Smart Grids
机译:
CLUSRED:大型智能电网的集群和网络缩减概率最佳功率流分析
作者:
Yi Liang
;
Deming Chen
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Smart grid;
Cyber-physical system;
Probabilistic optimal power flow;
Clustering;
Network reduction;
Cumulant;
39.
Throughput optimization for SADP and e-beam based manufacturing of 1D layout
机译:
基于SADP的吞吐量优化1D布局的制造
作者:
Ding Yixiao
;
Chu Chris
;
Wai-Kei Mak
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
SADP;
e-beam;
end cutting;
gap removal;
40.
Techniques for foundry identification
机译:
铸造识别技术
作者:
Wendt James B.
;
Koushanfar Farinaz
;
Potkonjak Miodrag
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
41.
Majority-Inverter Graph: A novel data-structure and algorithms for efficient logic optimization
机译:
多数逆变器图:一种新的数据结构和算法,可用于高效逻辑优化
作者:
Amaru Luca
;
Gaillardon Pierre-Emmanuel
;
De Micheli Giovanni
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Boolean Algebra;
DAG;
Logic Synthesis;
Majority Logic;
42.
Parasitic-aware sizing and detailed routing for binary-weighted capacitors in charge-scaling DAC
机译:
在充电缩放DAC中的二进制加权电容器的寄生感知大小和详细路由
作者:
Lin Mark Po-Hung
;
Hsiao Vincent Wei-Hao
;
Lin Chun-Yu
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
43.
MOSAIC: Mask optimizing solution with process window aware inverse correction
机译:
马赛克:掩模优化解决方案与过程窗口感知反校正
作者:
Jhih-Rong Gao
;
Xiaoqing Xu
;
Bei Yu
;
Pan David Z.
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
44.
SLC-enabled wear leveling for MLC PCM considering process variation
机译:
考虑过程变化的MLC PCM支持SLC磨损调平
作者:
Mengying Zhao
;
Jiang Lei
;
Zhang Youtao
;
Xue Chun Jason
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
PCM;
endurance;
process variation;
wear leveling;
45.
An efficient two-level DC operating points finder for transistor circuits
机译:
用于晶体管电路的高效两级DC操作点查找器
作者:
Deng Jian
;
Batselier Kim
;
Zhang Yang
;
Wong Ngai
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
DC analysis;
inclusion method;
nonlinear equations;
transistor circuits simulation;
46.
DAPs: Dynamic Adjustment and Partial Sampling for Multithreaded/Multicore Simulation
机译:
用于多线程/多核模拟的动态调整和部分采样
作者:
Chien-Chih Chen
;
Yin-Chi Peng
;
Cheng-Fen Chen
;
Wei-Shan Wu
;
Qinghao Min
;
Pen-Chung Yew
;
Weihua Zhang
;
Tien-Fu Chen
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Design;
Measurement;
Performance;
Dynamic adjustment and partial sampling simulation;
Multithreaded/Multicore simulation;
47.
Parasitic-aware Sizing and Detailed Routing for Binary-weighted Capacitors in Charge-scaling DAC
机译:
在充电缩放DAC中的二进制加权电容器的寄生感知大小和详细路由
作者:
Mark Po-Hung Lin
;
Vincent Wei-Hao Hsiao
;
Chun-Yu Lin
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Algorithms;
Design;
Capacitor routing;
Capacitor sizing;
Parasitic matching;
48.
Reduction Operator for Wide-SIMDs Reconsidered
机译:
宽西斯德的减少运算符重新考虑
作者:
Luc Waeijen
;
Dongrui She
;
Henk Corporaal
;
Yifan He
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
49.
Selective Inversion of Inductance Matrix for Large-Scale Sparse RLC Simulation
机译:
大规模稀疏RLC仿真对电感矩阵的选择性反演
作者:
Ifigeneia Apostolopoulou
;
Konstantis Daloukas
;
Nestor Evmorfopoulos
;
George Stamoulis
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
50.
Integrated CPU-GPU power management for 3D mobile games
机译:
用于3D移动游戏的集成CPU-GPU电源管理
作者:
Pathania Anuj
;
Qing Jiao
;
Prakash Alok
;
Mitra Tulika
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
3D Mobile Games;
Embedded GPU;
Power Management;
51.
High-Level Synthesis for Run-Time Hardware Trojan Detection and Recovery
机译:
运行时硬件特洛伊木马检测和恢复的高级合成
作者:
Xiaotong Cui
;
Kun Ma
;
Liang Shi
;
Kaijie Wu
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Experimentation;
Security;
Hardware Trojan;
Detection and recovery;
Design for security;
Run time;
IP;
High-level synthesis;
52.
FPGA security: From features to capabilities to trusted systems
机译:
FPGA安全性:从功能到可信系统的功能
作者:
Trimberger Steve
;
Moore Jason
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Bitstream Encryption;
Cryptography;
FPGA;
Trusted Design;
53.
Battery Management and Application for Energy-Efficient Buildings
机译:
电池管理和可节能建筑的应用
作者:
Tianshu Wei
;
Taeyoung Kim
;
Sangyoung Park
;
Qi Zhu
;
Sheldon X. D. Tan
;
Naehyuck Chang
;
Sadrul Ula
;
Mehdi Maasoumy
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
54.
A Rigorous Graphical Technique for Predicting Sub-harmonic Injection Locking in LC Oscillators
机译:
一种严格的图形技术,用于预测LC振荡器中的亚谐波注射锁定
作者:
Palak Bhushan
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
55.
Modeling and analysis of domain wall dynamics for robust and low-power embedded memory
机译:
用于稳健和低功耗嵌入式内存的域壁动力学的建模与分析
作者:
Iyengar Anirudh
;
Ghosh Swaroop
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Domain wall memory;
compact modeling;
nanowire;
56.
Walking Pads: Managing C4 Placement for Transient Voltage Noise Minimization
机译:
步行垫:管理C4放置瞬态电压噪声最小化
作者:
Ke Wang
;
Brett H. Meyer
;
Runjie Zhang
;
Micrea Stan
;
Kevin Skadron
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Algorithms;
Design and Reliability;
Power pad allocation;
Power distribution network;
Voltage noise;
57.
TACUE: A Timing-Aware Cuts Enumeration Algorithm for Parallel Synthesis
机译:
TACUE:一个同时感知并行合成的枚举算法
作者:
Mahmoud Elbayoumi
;
Mihir Choudhury
;
Victor Kravets
;
Andrew Sullivan
;
Michael Hsiao
;
Mustafa Elnainay
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Timing Closure;
BDD bidecomposition;
Parallel synthesis;
58.
Practical functional and washing droplet routing for cross-contamination avoidance in digital microfluidic biochips
机译:
数字微流体Biochips交叉污染避免的实用功能和洗涤液滴路由
作者:
Wang Qin
;
Shen Yiren
;
Yao Hailong
;
Ho Tsung-Yi
;
Cai Yici
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Cross-contamination avoidance;
Digital microfluidic biochips;
Droplet routing;
Washing capacity constraint;
59.
A side-channel analysis resistant reconfigurable cryptographic coprocessor supporting multiple block cipher algorithms
机译:
侧通道分析耐用可重新配置加密协处理器支持多个块密码算法
作者:
Shan Weiwei
;
Shi Longxing
;
Fu Xingyuan
;
Zhang Xiao
;
Tian Chaoxuan
;
Xu Zhipeng
;
Yang Jun
;
Li Jie
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Block Cipher Algorithms;
Cryptographic Coprocessor;
Reconfigurable architecture;
Side-channel attack (SCA);
correlation based differential analysis (CPA);
electromagnetic analysis (EMA);
60.
Quality-of-service for a high-radix switch
机译:
高基数开关的服务质量
作者:
Abeyratne Nilmini
;
Jeloka Supreet
;
Kang Yiping
;
Blaauw David
;
Dreslinski Ronald G.
;
Das Reetuparna
;
Mudge Trevor
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
network-on-chip;
quality-of-service;
61.
Routability-Driven Blockage-Aware Macro Placement
机译:
可路由驱动的阻塞感知宏放置
作者:
Yi-Fang Chen
;
Chau-Chin Huang
;
Chien-Hsiung Chiou
;
Yao-Wen Chang
;
Chang-Jen Wang
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Algorithms;
Performance;
Physical Design;
Placement;
Routability;
62.
ePlace: Electrostatics Based Placement Using Nesterov's Method
机译:
eplace:使用Nesterov的方法基于静电的展示位置
作者:
Jingwei Lu
;
Pengwen Chen
;
Chin-Chih Chang
;
Lu Sha
;
Dennis J-.H. Huang
;
Chin-Chi Teng
;
Chung-Kuan Cheng
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
63.
MASHamp;#x007B;fifoamp;#x007D;: A hardware-based multiple cache simulator for rapid FIFO cache analysis
机译:
mash{ fifo&#x007d ;:基于硬件的多缓存模拟器,用于快速FIFO缓存分析
作者:
Schneider Josef
;
Peddersen Jorgen
;
Parameswaran Sri
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
64.
dTune: Leveraging reliable code generation for adaptive dependability tuning under process variation and aging-induced effects
机译:
DTUNE:利用可靠的代码生成自适应可靠性调整的过程变化和衰老诱导的效果
作者:
Rehman Semeen
;
Kriebel Florian
;
Duo Sun
;
Shafique Muhammad
;
Henkel Jorg
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
65.
Area-efficient event stream ordering for runtime observability of embedded systems
机译:
区域高效的事件流订购嵌入式系统的运行时可观察性
作者:
Lee Jong Chul
;
Lysecky Roman
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
System observability;
in-situ system monitoring;
runtime testing;
66.
Statistical Battery Models and Variation-Aware Battery Management
机译:
统计电池型号和变异感知电池管理
作者:
Donghwa Shin
;
Enrico Macii
;
Massimo Poncino
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Design;
Algorithms;
Management;
Battery Modeling;
Peukert's law;
Datasheet;
Constant Current - Constant Voltage (CC-CV);
67.
An efficient bi-criteria flow channel routing algorithm for flow-based microfluidic biochips
机译:
基于流量的微流体生物芯片的高效二标准流动通道路由算法
作者:
Lin Chun-Xun
;
Liu Chih-Hung
;
Chen I-Che
;
Lee D.T.
;
Ho Tsung-Yi
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Microfluidic biochip;
Routing;
Steiner tree;
68.
GUARD: GUAranteed reliability in dynamically reconfigurable systems
机译:
守卫:在动态可重构系统中保证可靠性
作者:
Zhang Hongyan
;
Kochte Michael A.
;
Imhof Michael E.
;
Bauer Lars
;
Wunderlich H.-J.
;
Henkel Jorg
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
69.
Enabling efficient analog synthesis by coupling sparse regression and polynomial optimization
机译:
通过耦合稀疏回归和多项式优化实现高效的模拟合成
作者:
Wang Ye
;
Orshansky Michael
;
Caramanis Constantine
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
70.
Retention Trimming for Wear Reduction of Flash Memory Storage Systems
机译:
闪存存储系统磨损减少保留修剪
作者:
Liang Shi
;
Kaijie Wu
;
Mengying Zhao
;
Chun Jason Xue
;
Edwin H. M. Sha
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Design;
Performance;
Experimentation;
Retention Time;
Flash Memory;
Wear Reduction;
71.
SHiFA: System-level hierarchy in run-time fault-aware management of many-core systems
机译:
SHIFA:许多核心系统的运行时故障感知管理中的系统级层次结构
作者:
Fattah Mohammad
;
Palesi Maurizio
;
Liljeberg Pasi
;
Plosila Juha
;
Tenhunen Hannu
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
application mapping;
hierarchical management;
system-level design;
72.
Advanced Techniques for Designing Stealthy Hardware Trojans
机译:
设计隐身的硬件特洛伊木马的先进技术
作者:
Nektarios Georgios Tsoutsos
;
Charalambos Konstantinou
;
Michail Maniatakos
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Hardware;
Security;
Trojans;
Backdoors;
Intellectual property;
73.
Demystifying energy usage in smartphones
机译:
在智能手机中发霉的能源使用
作者:
Xiang Chen
;
Yiran Chen
;
Mian Dong
;
Charlie Zhang
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
74.
Run-time technique for simultaneous aging and power optimization in GPGPUs
机译:
GPGPU中同时老化和功率优化的运行时间技术
作者:
Chen Xiaoming
;
Wang Yu
;
Liang Yun
;
Xie Yuan
;
Yang Huazhong
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
General-purpose graphics processing unit (GPGPU);
Negative bias temperature instability (NBTI);
power;
75.
Power-aware deployment and control of forced-convection and thermoelectric coolers
机译:
强制转向和热电冷却器的动力感知部署和控制
作者:
Dousti Mohammad Javad
;
Pedram Massoud
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Dynamic thermal management;
cooling;
forced-convection cooling;
leakage power;
low-power design;
thermoelectric coolers;
76.
Majority-Inverter Graph: A Novel Data-Structure and Algorithms for Efficient Logic Optimization
机译:
多数逆变器图:一种新的数据结构和算法,可用于高效逻辑优化
作者:
Luca Amaru
;
Pierre-Emmanuel Gaillardon
;
Giovanni De Micheli
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Algorithms;
Design;
Performance;
Theory;
Majority Logic;
Boolean Algebra;
DAG;
Logic Synthesis;
77.
Ontology-guided conceptual analysis of design specifications
机译:
设计规范的本体论引导概念分析
作者:
Shankar Arunprasath
;
Singh Bhanu
;
Wolff Francis
;
Papachristou Christos
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Component Retrieval;
Conceptual Analysis;
Ontology;
Ontology Generation;
Semantic Web;
Specification Mining;
78.
Architecting dynamic power management to be formally verifiable
机译:
架构动态电源管理是正式可验证的
作者:
Sorin Daniel J.
;
Matthews Opeoluwa
;
Zhang Meng
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
79.
A Red Team/Blue Team Assessment of Functional Analysis Methods for Malicious Circuit Identification
机译:
红色团队/蓝色团队对恶意电路识别功能分析方法的评估
作者:
Adam Waksman
;
Jeyavijayan Rajendran
;
Matthew Suozzo
;
Simha Sethumadhavan
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Hardware;
Security;
Backdoors;
Functional analysis;
Intellectual property;
80.
A red team/blue team assessment of functional analysis methods for malicious circuit identification
机译:
红色团队/蓝色团队对恶意电路识别功能分析方法的评估
作者:
Waksman Adam
;
Rajendran Jeyavijayan
;
Suozzo Matthew
;
Sethumadhavan Simha
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
backdoors;
functional analysis;
hardware;
intellectual property;
security;
81.
Exact One-pass Synthesis of Digital Microfluidic Biochips
机译:
数字微流体生物芯片的精确合成
作者:
Oliver Keszocze
;
Robert Wille
;
Tsung-Yi Ho
;
Rolf Drechsler
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
82.
System-Level Floorplan-Aware Analysis of Integrated CPU-GPUs
机译:
系统级落地覆盖对CPU-GPU的分析
作者:
Vivek S. Nandakumar
;
Malgorzata Marek-Sadowska
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
83.
An efficient STT-RAM last level cache architecture for GPUs
机译:
GPU的高效STT-RAM最后一级缓存架构
作者:
Samavatian Mohammad Hossein
;
Abbasitabar Hamed
;
Arjomand Mohammad
;
Sarbazi-Azad Hamid
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
GPGPU Application;
GPU;
Retention Time;
STT-RAM;
84.
Circuit camouflage integration for hardware IP protection
机译:
硬件IP保护的电路伪装集成
作者:
Cocchi Ronald P.
;
Baukus James P.
;
Chow Lap Wai
;
Wang Bryan J.
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Anti-Cloning;
Anti-Counterfeit;
Anti-Tamper;
Anti-Trojan;
Camouflage;
Design;
Obfuscation;
Reverse Engineering;
Security;
85.
Datapath Synthesis for Overclocking: Online Arithmetic for Latency-Accuracy Trade-offs
机译:
DataPath综合用于超频:延迟准确性权衡的在线算术
作者:
Kan Shi
;
David Boland
;
Edward Stott
;
Samuel Bayliss
;
George A. Constantinides
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Design;
Performance;
Reliability;
Online Arithmetic;
Overclocking;
Imprecise Design;
86.
Design methodologies for 3D mixed signal integrated circuits: A practical 12-bit SAR ADC design case
机译:
3D混合信号集成电路设计方法:实用的12位SAR ADC设计案例
作者:
Liu Wulong
;
Chen Guoqing
;
Han Xue
;
Wang Yu
;
Xe Yuan
;
Yang Huazhong
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
87.
Low power GPGPU computation with imprecise hardware
机译:
低功耗GPGPU计算使用不精确的硬件
作者:
Zhang Hang
;
Putic Mateja
;
Lach John
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Approximate Computing;
Floating Point Unit;
GPGPU;
Imprecise Hardware;
Special Function Unit;
88.
Exploring the Heterogeneous Design Space for both Performance and Reliability
机译:
探索性能和可靠性的异构设计空间
作者:
Rafael Ubal
;
Dana Schaa
;
Perhaad Mistry
;
Xiang Gong
;
Yash Ukidave
;
Zhongliang Chen
;
Gunar Schirner
;
David Kaeli
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
89.
CAP: Communication aware programming
机译:
帽:通信意识编程
作者:
Heisswolf Jan
;
Zaib Aurang
;
Zwinkau Andreas
;
Kobbe Sebastian
;
Weichslgartner Andreas
;
Teich Jurgen
;
Henkel Jorg
;
Snelting Gregor
;
Herkersdorf Andreas
;
Becker Jurgen
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
X10;
communication;
invasive;
many-core;
network on chip;
90.
Parallel FPGA routing based on the operator formulation
机译:
基于操作员制定的并行FPGA路由
作者:
Moctar Yehdhih Ould Mohammed
;
Brisk Philip
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Field Programmable Gate Array (FPGA);
Irregular Algorithm;
Maze Expansion;
Routing;
Routing Resource Graph (RRG);
Software Transactional Memory (STM);
91.
ePlace: Electrostatics based placement using Nesterov#039;s method
机译:
eplace:使用Nesterov的方法基于静电的展示位置
作者:
Lu Jingwei
;
Chen Pengwen
;
Chang Chin-Chih
;
Sha Lu
;
Huang Dennis J-.H.
;
Teng Chin-Chi
;
Cheng Chung-Kuan
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
92.
Fast and accurate full-chip extraction and optimization of TSV-to-wire coupling
机译:
快速准确的全芯片提取和优化TSV到线耦合
作者:
Peng Yarui
;
Petranovic Dusan
;
Sung Kyu Lim
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
IEEE Xplore;
Portable document format;
3D IC;
Coupling;
TSV-to-Wire;
93.
A Side-channel Analysis Resistant Reconfigurable Cryptographic Coprocessor Supporting Multiple Block Cipher Algorithms
机译:
侧通道分析耐用可重新配置加密协处理器支持多个块密码算法
作者:
Weiwei Shan
;
Longxing Shi
;
Xingyuan Fu
;
Xiao Zhang
;
Chaoxuan Tian
;
Zhipeng Xu
;
Jun Yang
;
Jie Li
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Security;
Side-channel attack (SCA);
Reconfigurable architecture;
Cryptographic Coprocessor;
Block Cipher Algorithms;
Correlation based differential analysis (CPA);
Electromagnetic analysis (EMA);
94.
FALCON: A framework for hierarchical computation of metrics for component-based parameterized SoCs
机译:
Falcon:基于组件的参数化SoC的度量标准分层计算的框架
作者:
Javaid Haris
;
Yachide Yusuke
;
Su Myat Min Shwe
;
Bokhari Haseeb
;
Parameswaran Sri
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
95.
Metasynthesis for Designing Automotive SoCs
机译:
用于设计汽车SOC的元化
作者:
Ecker Wolfgang
;
Velten Michael
;
Zafari Leily
;
Goyal Ajay
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
code generation;
design productivity;
metamodeling;
metasynthesis;
system level synthesis;
96.
On the Simulation of NBTI-Induced Performance Degradation Considering Arbitrary Temperature and Voltage Variations
机译:
考虑任意温度和电压变化的NBTI诱导性能下降的模拟
作者:
Ting Wang
;
Qiang Xu
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
97.
A design methodology for compositional high-level synthesis of communication-centric SoCs
机译:
用于通信中心的组成高水平合成的设计方法
作者:
Di Guglielmo Giuseppe
;
Pilato Christian
;
Carloni Luca P.
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
High-Level Synthesis;
SystemC;
98.
Static mapping of mixed-critical applications for fault-tolerant MPSoCs
机译:
混合关键应用静态映射用于容错的MPSOC
作者:
Kang Shin-haeng
;
Hoeseok Yang
;
Sungchan Kim
;
Bacivarov Iuliana
;
Soonhoi Ha
;
Thiele Lothar
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Mixed-Criticality;
Re-execution;
Replication;
Task Dropping;
Worst-Case Response Time;
99.
OD3P: On-Demand Page Paired PCM
机译:
OD3P:按需页面配对PCM
作者:
Asadinia Marjan
;
Arjomand Mohammad
;
Sarbazi-Azad Hamid
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
Lifetime;
Page Pairing;
100.
Design and implementation of a dynamic component model for federated AUTOSAR systems
机译:
联邦授权系统动态组件模型的设计与实现
作者:
Ze Ni
;
Kobetski Avenir
;
Axelsson Jakob
会议名称:
《ACM/EDAC/IEEE Design Automation Conference》
|
2014年
关键词:
AUTOSAR;
Dynamically Reconfigurable Software;
Federated Embedded Systems;
Software Components;
意见反馈
回到顶部
回到首页